搜档网
当前位置:搜档网 › 半导体的生产工艺流程

半导体的生产工艺流程

半导体的生产工艺流程
半导体的生产工艺流程

半导体的生产工艺流程

微机电制作技术,尤其是最大宗以硅半导体为基础的微细加工技术

(silicon-basedmicromachining),原本就肇源于半导体组件的制程技术,所以必须先介绍清楚这类制程,以免沦于夏虫语冰的窘态。

一、洁净室

一般的机械加工是不需要洁净室(cleanroom)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下:

1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型

鼓风机,将经滤网的空气源源不绝地打入洁净室中。

2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统

中。换言之,鼓风机加压多久,冷气空调也开多久。

3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆

放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。

4、所有建材均以不易产生静电吸附的材质为主。

5、所有人事物进出,都必须经过空气吹浴(airshower)的程序,将表面粉尘

先行去除。

6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人

员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化妆是在禁绝之内,铅笔等也禁止使用。

7、除了空气外,水的使用也只能限用去离子水(DIwater,de-ionizedwater)。

一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrierchannel),影响半导体组件的工作特性。去离子水以电阻率(resistivity)来定义好坏,一般要求至

17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与

UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人!

8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使

用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔

大笔的建造与维护费用!

二、晶圆制作

硅晶圆(siliconwafer)是一切集成电路芯片的制作母材。既然说到晶体,显然是经过纯炼与结晶的程序。目前晶体化的制程,大多是采「柴可拉斯基」(Czycrasky)拉晶法(CZ法)。拉晶时,将特定晶向(orientation)的晶种

(seed),浸入过饱和的纯硅熔汤(Melt)中,并同时旋转拉出,硅原子便依照晶种晶向,乖乖地一层层成长上去,而得出所谓的晶棒(ingot)。晶棒的阻值如果太低,代表其中导电杂质(impuritydopant)太多,还需经过FZ法

(floating-zone)的再结晶(re-crystallization),将杂质逐出,提高纯度与阻值。

三、半导体制程设备

半导体制程概分为三类:(1)薄膜成长,(2)微影罩幕,(3)蚀刻成型。设备也跟着分为四类:

(a)高温炉管,(b)微影机台,(c)化学清洗蚀刻台,(d)电浆真空腔室。其中

(a)~(c)机台依序对应 (1)~(3)制程,而新近发展的第(d)项机台,则分别

应用于制程(1)与(3)。由于坊间不乏介绍半导体制程及设备的中文书籍,故本文不刻意锦上添花,谨就笔者认为较有趣的观点,描绘一二!

(一)氧化(炉)(Oxidation)

对硅半导体而言,只要在高于或等于1050℃的炉管中,如图2-3所示,通入氧气或水汽,自然可以将硅晶的表面予以氧化,生长所谓干氧层

(dryz/gateoxide)或湿氧层(wet/fieldoxide),当作电子组件电性绝缘或制程掩膜之用。氧化是半导体制程中,最干净、单纯的一种;这也是硅晶材料能够取得优势的特性之一(他种半导体,如砷化镓GaAs,便无法用此法成长绝缘层,因为在550℃左右,砷化镓已解离释放出砷!)硅氧化层耐得住850℃~1050℃的后续制程环境,系因为该氧化层是在前述更高的温度成长;

不过每生长出1微米厚的氧化层,硅晶表面也要消耗掉0.44微米的厚度。

以下是氧化制程的一些要点:

(1)氧化层的成长速率不是一直维持恒定的趋势,制程时间与成长厚度之重复性是较为重要之考量。

(2)后长的氧化层会穿透先前长的氧化层而堆积于上;换言之,氧化所需之氧或水汽,势必也要穿透先前成长的氧化层到硅质层。故要生长更厚的氧化层,遇到的阻碍也越大。

(3)干氧层主要用于制作金氧半(MOS)晶体管的载子信道(channel);而湿氧层则用于其它较不严格讲究的电性阻绝或制程罩幕(masking)。前者厚度远小于后者,1000~1500埃已然足够。

(4)对不同晶面走向的晶圆而言,氧化速率有异:通常在相同成长温度、条件、及时间下,{111}厚度≧{110}厚度>{100}厚度。

(5)导电性佳的硅晶氧化速率较快。

(6)适度加入氯化氢(HCl)氧化层质地较佳;但因容易腐蚀管路,已渐少用。

(7)氧化层厚度的量测,可分破坏性与非破坏性两类。前者是在光阻定义阻绝下,泡入缓冲过的氢氟酸(BOE,BufferedOxideEtch,系HF与NH4F 以1:6的比例混合而成的腐蚀剂)将显露出来的氧化层去除,露出不沾水的硅晶表面,然后去掉光阻,利用表面深浅量测仪(surfaceprofileroralphastep),得到有无氧化层之高度差,即其厚度。(8)非破坏性的测厚法,以椭偏仪(ellipsometer)或是毫微仪(nano-spec)最为普遍及准确,前者能同时输出折射率(refractiveindex;用以评估薄膜品质之好坏)及起始厚度b与跳阶厚度a(总厚度t=ma+b),实际厚度(需确定m之整数值),仍需与制程经验配合以判读之。后者则还必须事先知道折射率来反推厚度值。

(9)不同厚度的氧化层会显现不同的颜色,且有2000埃左右厚度即循环一次的特性。有经验者也可单凭颜色而判断出大约的氧化层厚度。不过若超过

1.5微米以上的厚度时,氧化层颜色便渐不明显。

(二)扩散(炉)(diffusion)

1、扩散搀杂半导体材料可搀杂n型或p型导电杂质来调变阻值,却不影响其机械物理性质的特点,是进一步创造出p-n接合面(p-njunction)、二极管(diode)、晶体管(transistor)、以至于大千婆娑之集成电路(IC)世界之基础。而扩散是达成导电杂质搀染的初期重要制程。众所周知,扩散即大自然之输送现象(transportphenomena);质量传输(masstransfer)、热传递(heattransfer)、与动量传输(momentumtransfer;即摩擦拖曳)皆是其实然的三种已知现象。本杂质扩散即属于质量传输之一种,唯需要在850oC 以上的高温环境下,效应才够明显。由于是扩散现象,杂质浓度C (concentration;每单位体积具有多少数目的导电杂质或载子)服从扩散方程式如下:

这是一条拋物线型偏微分方程式,同时与扩散时间t及扩散深度x有关。换言之,在某扩散瞬间(t固定),杂质浓度会由最高浓度的表面位置,往深度方向作递减变化,而形成一随深度x变化的浓度曲线;另一方面,这条浓度曲线,却又随着扩散时间之增加而改变样式,往时间无穷大时,平坦一致的扩散浓度分布前进!

既然是扩散微分方程式,不同的边界条件(boundaryconditions)施予,会产生不同之浓度分布外形。固定表面浓度(constantsurfaceconcentration)与固定表面搀杂量(constantsurfacedosage),是两种常被讨论的具有解析精确解的扩散边界条件(参见图2-4):

2、前扩散(pre-deposition) 第一种定浓度边界条件的浓度解析解是所谓的互补误差函数(complementaryerrorfunction),其对应之扩散步骤称为「前扩散」,即我们一般了解之扩散制程;当高温炉管升至工作温度后,把

待扩散晶圆推入炉中,然后开始释放扩散源(p型扩散源通常是固体呈晶圆

状之氮化硼【boron-nitride】芯片,n型则为液态POCl3之加热蒸气)进行扩散。其浓度剖面外形之特征是杂质集中在表面,表面浓度最高,并随深度迅速减低,或是说表面浓度梯度(gradient)值极高。

3、后驱入(postdrive-in) 第二种定搀杂量的边界条件,具有高斯分布(Gaussiandistribution)的浓度解析解。对应之扩散处理程序叫做「后驱入」,即一般之高温退火程序;基本上只维持炉管的驱入工作温度.

(二)微影(Photo-Lithography)

1、正负光阻

微影光蚀刻术起源于照相制版的技术。自1970年起,才大量使用于半导体制程之图形转写复制。原理即利用对紫外线敏感之聚合物,或所谓光阻(photo-resist)之受曝照与否,来定义该光阻在显影液(developer)中是否被蚀除,而最终留下与遮掩罩幕,即光罩(mask)相同或明暗互补之图形;相同者称之「正光阻」(positiveresist),明暗互补者称之「负光阻」(negativeresist),如图2-6所示。一般而言,正光阻,如AZ-1350、AZ-5214、FD-6400L等,其分辨率及边缘垂直度均佳,但易变质,储存期限也较短(约半年到一年之间),常用于学术或研发单位;而负光阻之边缘垂直度较差,但可储存较久,常为半导体业界所使用。

2、光罩前段述及的光罩制作,是微影之关键技术。其制作方式经几十年之演进,已由分辨率差的缩影机(由数百倍大的红胶纸【rubby-lith】图样缩影)技术,改良为直接以计算机辅助设计制造(CAD/CAM)软件控制的雷射束(laser-beam)或电子束(E-beam)书写机,在具光阻之石英玻璃板上进行书写(曝光),分辨率(最小线宽)也改进到微米的等级。由于激光打印机的分辨率越来越好,未来某些线宽较粗的光罩可望直接以打印机出图。举例而言,3386dpi的出图机,最小线宽约为七微米。

3、对准机/步进机

在学术或研发单位中之电路布局较为简易,一套电路布局可全部写在一片光罩中,或甚至多重复制。加上使用之硅晶圆尺寸较小,配合使用之光罩本来就不大。所以搭配使用之硅晶圆曝光机台为一般的「光罩对准机」(maskaligner,如图2-7)。换言之,一片晶圆只需一次对准曝光,便可进

行之后的显影及烤干程序。但在业界中,使用的晶圆大得多,我们不可能任意造出7吋或9吋大小的光罩来进行对准曝光:一来电子束书写机在制备这样大的光罩时,会耗损巨量的时间,极不划算;二来,大面积光罩进行光蚀刻曝光前与晶圆之对准,要因应大面积精密定位及防震等问题,极为棘手!所以工业界多采用步进机(stepper)进行对准曝光;也就是说,即使晶圆大到6或8吋,但光罩大小还是小小的1~2吋见方,一则光罩制备快速,二则小面积对准的问题也比较少;只是要曝满整片晶圆,要花上数十次「对准→曝光→移位」的重复动作。但即便如此,因每次「对准→曝光→移位」仅

费时1秒左右,故一片晶圆的总曝光时间仍控制在1分钟以内,而保持了工厂的高投片率(highthrough-put;即单位时间内完成制作之硅芯片数。)

晶圆上微米厚度等级的光阻,是采用旋转离心(spin-coating)的方式涂布上去。光阻涂布机如图2-8所示。其典型程序包括:

(1)晶圆表面前处理(pre-baking):即在150°C下烘烤一段时间。若表面无氧化层,要另外先上助粘剂(primer),如HMDS,再降回室温。换言之,芯片表面在涂敷光阻前要确保是亲水性(hydrophilic)。

(2)送晶圆上真空吸附的转台,注入(dispensing)光阻,开始由低转速甩出多余的光阻并均布之,接着以转速数千rpm,减薄光阻至所需厚度。(3)将晶圆表层光阻稍事烤干定型,防止沾粘。但不可过干过硬,而妨碍后续的曝光显影。一般光阻涂布机的涂布结果是厚度不均。尤其在晶圆边缘部份,可能厚达其它较均匀部份的光阻3倍以上。另外,为了确保光阻全然涂布到整片晶圆,通常注入光阻的剂量,是真正涂布粘着在晶圆上之数十甚至数百倍,极其可惜;因为甩到晶圆外的光阻中有机溶剂迅速挥发逸散,成份大变,不能回收再使用。

5、厚光阻

德国Karl-Suss公司开发了一种新型的光阻涂布机,称为GYRSET?,如图2-9所示,其卖点在于强调可减少一半的光阻用量,且得出更均厚的光阻分布。其原理极为单纯:只是在真空转台上加装了跟着同步旋转的盖子。如此一来,等于强迫晶圆与盖子之间的空气跟着旋转,那么光阻上便无高转速差的粘性旋转拖曳作用。故光阻在被涂布时,其与周遭流体之相对运动并不明显,只是离心的彻体力效果,使光阻稳定地、且是呈同心圆状地向外涂布。根据实际使用显示,GYRSET?只需一般涂布机的55%光阻用量。另外,其也可应用于厚光阻之涂布(厚度自数微米至数百微米不等)。受涂基板也可由晶圆改为任意的工作外型,而不会造成边缘一大部份面积厚度不均的花花外貌。[注]厚光阻是新近发展出来,供微机电研究使用的材料,如IBM的SU-8系列光阻,厚度由数微米至100微米不等,以GYRSET?涂布后,经过严格的烘干程序,再以紫外线或准分子雷射(excimerlaser)进行曝光显影后,所得到较深遂的凹状图案,可供进一步精密电铸(electro-forming)的金属微结构成长填塞。这种加工程序又称为「仿LIGA」制程(poormansLIGA),即「异步X光之深刻模造术」。

(三)蚀刻(Etching)

蚀刻的机制,按发生顺序可概分为「反应物接近表面」、「表面氧化」、「表面反应」、「生成物离开表面」等过程。所以整个蚀刻,包含反应物接近、生成物离开的扩散效应,以及化学反应两部份。整个蚀刻的时间,等于是扩散与化学反应两部份所费时间的总和。二者之中孰者费时较长,整个蚀刻之快慢也卡在该者,故有所谓「reactionlimited」与「diffusionlimited」两类蚀刻之分。

1、湿蚀刻

最普遍、也是设备成本最低的蚀刻方法,其设备如图2-10所示。其影响被蚀刻物之蚀刻速率(etchingrate)的因素有三:蚀刻液浓度、蚀刻液温度、及搅拌(stirring)之有无。定性而言,增加蚀刻温度与加入搅拌,均能有效提高蚀刻速率;但浓度之影响则较不明确。举例来说,以49%的HF蚀刻SiO2,当然比BOE(Buffered-Oxide-Etch;HF:NH4F=1:6)快的多;但40%的KOH蚀刻Si的速率却比20%KOH慢!湿蚀刻的配方选用是一项化学的专业,对于一般不是这方面的研究人员,必须向该化学专业的同侪请教。一个选用湿蚀刻配方的重要观念是「选择性」

(selectivity),意指进行蚀刻时,对被蚀物去除速度与连带对其他材质(如蚀刻掩膜;etchingmask,或承载被加工薄膜之基板;substrate)的腐蚀速度之比值。一个具有高选择性的蚀刻系统,应该只对被加工薄膜有腐蚀作用,而不伤及一旁之蚀刻掩膜或其下的基板材料。

(1)等向性蚀刻(isotropicetching) 大部份的湿蚀刻液均是等向性,

换言之,对蚀刻接触点之任何方向腐蚀速度并无明显差异。

(2)非等向性蚀刻(anisotropicetching) 先前题到之湿蚀刻「选择性」

观念,是以不同材料之受蚀快慢程度来说明。然而自1970年代起,在诸如JournalofElectro-ChemicalSociety等期刊中,发表了许多有关碱性或有机溶液腐蚀单晶硅的文章,其特点是不同的硅晶面腐蚀速率相差极大,尤其是<111>方向,足足比<100>或是<110>方向的腐蚀速率小一到两个数量级!因此,腐蚀速率最慢的晶面,往往便是腐蚀后留下的特定面。

这部份将在体型微细加工时再详述。

2、干蚀刻

干蚀刻是一类较新型,但迅速为半导体工业所采用的技术。其利用电浆(plasma)来进行半导体薄膜材料的蚀刻加工。其中电浆必须在真空度约10至0.001Torr的环境下,才有可能被激发出来;而干蚀刻采用的气体,或轰击质量颇巨,或化学活性极高,均能达成蚀刻的目的。干蚀刻基本上包括「离子轰击」(ion-bombardment)与「化学反应」

(chemicalreaction)两部份蚀刻机制。偏「离子轰击」效应者使用氩气(argon),加工出来之边缘侧向侵蚀现象极微。而偏「化学反应」效应者则采氟系或氯系气体(如四氟化碳CF4),经激发出来的电浆,即带有氟或氯之离子团,可快速与芯片表面材质反应。干蚀刻法可直接利用光阻作蚀刻之阻绝遮幕,不必另行成长阻绝遮幕之半导体材料。而其最重要的优点,能兼顾边缘侧向侵蚀现象极微与高蚀刻率两种优点,换言之,本技术中所谓「活性离子蚀刻」(reactiveionetch;RIE)已足敷「次微米」线宽制程技术的要求,而正被大量使用中。

(四)离子植入(IonImplantation) 在扩散制程的末尾描述中,曾题及

扩散区域之边缘所在,有侧向扩散的误差,故限制其在次微米制程上之

应用。但诚如干蚀法补足湿蚀法在次微米制程能力不足一样,此地另有离子植入法,来进行图案更精细,浓度更为稀少精准的杂值搀入。离子植入法是将III族或IV族之杂质,以离子的型式,经加速后冲击进入晶圆表面,经过一段距离后,大部份停于离晶圆表面0.1微米左右之深度(视加速能量而定),故最高浓度的地方,不似热扩散法在表面上。不过因为深度很浅,一般还是简单认定大部份离子是搀杂在表面上,然后进一步利用驱入(drive-in)来调整浓度分布,并对离子撞击过的区域,进行结构之修补。基本上,其为一低温制程,故可直接用光阻来定义植入的区域。

(五)化学气相沉积(ChemicalVaporDeposition;CVD)

到目前为止,只谈到以高温炉管来进行二氧化硅层之成长。至于其它如多晶硅(poly-silicon)、氮化硅(silicon-nitride)、钨或铜金属等薄膜材料,要如何成长堆栈至硅晶圆上?

基本上仍是采用高温炉管,只是因着不同的化学沉积过程,有着不同之工作温度、压力与反应气体,统称为「化学气相沉积」。

既是化学反应,故免不了「质量传输」与「化学反应」两部份机制。由于化学反应随温度呈指数函数之变化,故当高温时,迅速完成化学反应。换言之,整体沉积速率卡在质量传输(diffusion-limited);而此部份事实上随温度之变化,不像化学反应般敏感。所以对于化学气相沉积来说,如图2-11所示,提高制程温度,容易掌握沉积的速率或制程之重复性。然而高制程温度有几项缺点:高温制程环境所需电力成本较高。

高温成长之薄膜,冷却至常温后,会产生因各基板与薄膜间热胀缩程度不同之残留应力(residualstress)。

所以,低制程温度仍是化学气相沉积追求的目标之一,惟如此一来,在制程技术上面临之问题及难度也跟着提高。以下,按着化学气相沉积的研发历程,分别简介「常压化学气相沉积」、「低压化学气相沉积」、及「电浆辅助化学气相沉积」:

1、常压化学气相沉积(AtmosphericPressureCVD;APCVD)

最早研发的CVD系统,顾名思义是在一大气压环境下操作,设备外貌也与氧化炉管相类似。欲成长之材料化学蒸气自炉管上游均匀流向硅晶,至于何以会沉积在硅晶表面,可简单地以边界层(boundarylayer)理论作定性说明:当具黏性之化学蒸气水平吹拂过硅芯片时,硅芯片与炉管壁一样,都是固体边界,因着靠近芯片表面约1mm的边界层内速度之大量变化(由边界层外缘之蒸气速度减低到芯片表面之0速度),会施予一拖曳外力,拖住化学蒸气分子;同时因硅芯片表面温度高于边界层外缘之蒸气温度,芯片将释出热量,来供给被拖住之化学蒸气分子在芯片表面完成薄膜材质解离析出之所需能量。所以基本上,化学气相沉积就是大自然「输送现象」(transportphenomena)

的应用。

常压化学气相沉积速度颇快,但成长薄膜的质地较为松散。另外若晶圆不采水平摆放的方式(太费空间),薄膜之厚度均匀性

(thicknessuniformity)不佳。

2、低压化学气相沉积(LowPressureCVD;LPCVD)

为进行50片或更多晶圆之批次量产,炉管内之晶圆势必要垂直密集地竖放于晶舟上,这明显衍生沉积薄膜之厚度均匀性问题;因为平板边界层问题的假设已不合适,化学蒸气在经过第一片晶圆后,黏性流场立即进入分离(separation)的状态,逆压力梯度

(reversedpressuregradient)会将下游的化学蒸气带回上游,而一团混乱。在晶圆竖放于晶舟已不可免之情况下,降低化学蒸气之环境压力,是一个解决厚度均匀性的可行之道。原来依定义黏性流特性之雷诺数观察,动力黏滞系数ν随降压而变小,如此一来雷诺数激增,而使化学蒸气流动由层流(laminarflow)进入紊流(turbulentflow)。

有趣的是紊流不易分离,换言之,其为一乱中有序之流动,故尽管化学蒸气变得稀薄,使沉积速度变慢,但其经过数十片重重的晶圆后,仍无分离逆流的现象,而保有厚度均匀,甚至质地致密的优点。以800oC、1Torr成长之LPCVD氮化硅薄膜而言,其质地极为坚硬耐磨,也极适合蚀刻掩膜之用(沉积速度约20分钟0.1微米厚。)

3、电浆辅助化学气相沉积(PlasmaEnhancedCVD;PECVD)

尽管LPCVD已解决厚度均匀的问题,但温度仍太高,沉积速度也不够快。为了先降低沉积温度,必须寻找另一能量来源,供化学沉积之用。

由于低压对于厚度均匀性的必要性,开发低压环境之电浆能量辅助(电浆只能存在于10~0.001Torr下),恰好补足低温环境下供能不足的毛病,甚至于辅助之电浆能量效应还高于温度之所施予,而使沉积速率高过LPCVD。以350oC、1Torr成长之PECVD氮化硅薄膜而言,其耐磨之质地适合IC最后切割包装(packaging)前之保护层

(passivationlayer)使用(沉积速度约5分钟0.1微米厚。) PECVD 与RIE两机台之运作原理极为相似,前者用电浆来辅助沉积,后者用电浆去执行蚀刻。不同之处在于使用不同的电浆气源,工作压力与温度也不相同。

(六)金属镀膜(MetalDeposition) 又称物理镀膜

(PhysicalVaporDeposition;PVD),依原理分为蒸镀(evaporation)与溅镀(sputtering)两种。PVD基本上都需要抽真空:前者在

10-6~10-7Torr的环境中蒸着金属;后者则须在激发电浆前,将气室内残余空气抽除,也是要抽到10-6~10-7Torr的程度。

一般的机械式抽气帮浦,只能抽到10-3Torr的真空度,之后须再串接高真空帮浦(机械式帮浦当作接触大气的前级帮浦),如:扩散式帮

浦(diffusionpump)、涡轮式帮浦(turbopump)、或致冷式帮浦(cryogenicpump),才能达到10-6~10-7Torr的真空程度。

1、蒸镀

蒸镀就加热方式差异,分为电阻式(thermalcoater)与电子枪式(E-gunevaporator)两类机台。前者在原理上较容易,就是直接将准备熔融蒸发的金属以线材方式挂在加热钨丝上,一旦受热熔融,因液体表面张力之故,会攀附在加热钨丝上,然后徐徐蒸着至四周(包含晶圆)。因加热钨丝耐热能力与供金属熔液攀附空间有限,仅用于低熔点的金属镀着,如铝,且蒸着厚度有限。电子枪式蒸镀机则是利用电子束进行加热,熔融蒸发的金属颗粒全摆在石墨或钨质坩埚(crucible)中。待金属蒸气压超过临界限度,也开始徐徐蒸着至四周(包含晶圆)。电子枪式蒸镀机可蒸着熔点较高的金属,厚度也比较不受限制。蒸镀法基本上有所谓阶梯覆披(stepcoverage)不佳的缺点,如图2-12所示。也就是说在起伏较剧烈的表面,蒸着金属有断裂不连续之虞。另外,多片晶圆的大面积镀着也存在厚度均匀的问题。为此,芯片之承载台加上公自转的机构,便用于上述两问题之改善。

2、溅镀

溅镀虽是物理镀膜的方法,但与蒸发毫无关系。就如同将石头丢入一滩泥沼中,会喷溅出许多泥浆般,溅镀利用氩气电浆,高速冲击受镀靶材(target),因而将靶材表面附近材质喷溅出来,落至晶圆之上。由于靶材是一整面而不是一点接受轰击,所以喷溅出来的材质,也有可能填塞到芯片表面阶梯死角的部位,而比较没有断线不连续或所谓阶梯披覆的问题。溅镀也依电浆受激之能量源不同,分为直流(DC)与射频(RF)两种。基本上,两种溅镀机都可镀着金属薄膜。但后者特别可以针对非金属薄膜,如压电(piezoelectric)或磁性材料,具有「绝缘、熔点高、成份复杂、对堆栈方式相当敏感」等智能型薄膜之镀着特征。

3、金属薄膜图形定义

利用光蚀术定义妥之光阻,泡入适当酸液中,可蚀出金属线路,此与蒸镀抑或溅镀并无关连。然而部份金属蚀液是碱液,如铬,早期常用「赤血盐-氢氧化钾」溶液来定义图案,直接用光阻遮掩会失败(还没蚀到底,光阻已经溶散了!),所以必须多蒸着一层金,间接以碘化钾-碘溶液定义出金之图案后,再以金之图案来作掩膜,进行铬的腐蚀(如此之繁复,常使初学者晕头转向,现在已经有铬金属的蚀洗液)。另一个令人更扰人的问题在于:酸液有侧向侵蚀的现象,所以无法制作出次微米之金属线。一般业界已使用垂直度极佳,然而价格极昂之干蚀刻机来解决这个问题(价昂是

因为要用到含氯之反应气体,所有管路都要考虑防腐蚀)。但学术研发单位,在没有干蚀刻机情况下,一样可以作出次微米之金属线,这个方法称为「金属剥离或举离法」(lift-off)。

调整芯片镀金属与上光阻的顺序:首先旋敷光阻,以光蚀术将欲镀着金属线路之区域开出窗口(该光罩恰与酸液蚀刻的光罩明暗相反),再进行金属镀着的工作。此时,大部份金属可能都镀着在光阻上。所以金属镀着后,只要将芯片浸入丙酮,在光阻遭有机溶剂溶散之际,其上之金属也跟着被抬离芯片,而只留下没有光阻,也就是原来设计之金属线路。

不过,金属剥离也不是完全没缺点:

1、金属蒸镀,会对芯片产生加温效果,若蒸镀时间较长或厚度较高,有可能烤干光阻,而在最后泡丙酮时,无法掀离金属。

2、光阻开窗时,或多或少会留下一些显影不完全的部份,所以在金属镀着时,并不保证芯片受镀面之清洁状态良好。

3、金属蒸镀的「举离」法:(a)光阻曝光(b)显影(c)金属蒸镀(d)举离,留下金属线路。光阻边缘必须确保垂直或甚至有侧凹(也是undercut)的特征,以便金属举离时,不会发生藕断丝连的现象。

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

晶圆封装测试工序和半导体制造工艺流程

A.晶圆封装测试工序 一、 IC检测 1. 缺陷检查Defect Inspection 2. DR-SEM(Defect Review Scanning Electron Microscopy) 用来检测出晶圆上是否有瑕疵,主要是微尘粒子、刮痕、残留物等问题。此外,对已印有电路图案的图案晶圆成品而言,则需要进行深次微米范围之瑕疵检测。一般来说,图案晶圆检测系统系以白光或雷射光来照射晶圆表面。再由一或多组侦测器接收自晶圆表面绕射出来的光线,并将该影像交由高功能软件进行底层图案消除,以辨识并发现瑕疵。 3. CD-SEM(Critical Dimensioin Measurement) 对蚀刻后的图案作精确的尺寸检测。 二、 IC封装 1. 构装(Packaging) IC构装依使用材料可分为陶瓷(ceramic)及塑胶(plastic)两种,而目前商业应用上则以塑胶构装为主。以塑胶构装中打线接合为例,其步骤依序为晶片切割(die saw)、黏晶(die mount / die bond)、焊线(wire bond)、封胶(mold)、剪切/成形(trim / form)、印字(mark)、电镀(plating)及检验(inspection)等。 (1) 晶片切割(die saw) 晶片切割之目的为将前制程加工完成之晶圆上一颗颗之晶粒(die)切割分离。举例来说:以0.2微米制程技术生产,每片八寸晶圆上可制作近六百颗以上的64M微量。 欲进行晶片切割,首先必须进行晶圆黏片,而后再送至晶片切割机上进行切割。切割完后之晶粒井然有序排列于胶带上,而框架的支撐避免了胶带的皱褶与晶粒之相互碰撞。 (2) 黏晶(die mount / die bond) 黏晶之目的乃将一颗颗之晶粒置于导线架上并以银胶(epoxy)粘着固定。黏晶完成后之导线架则经由传输设备送至弹匣(magazine)内,以送至下一制程进行焊线。 (3) 焊线(wire bond) IC构装制程(Packaging)则是利用塑胶或陶瓷包装晶粒与配线以成集成电路(Integrated Circuit;简称IC),此制程的目的是为了制造出所生产的电路的保护层,避免电路受到机械性刮伤或是高温破坏。最后整个集成电路的周围会向外拉出脚架(Pin),称之为打线,作为与外界电路板连接之用。

半导体工艺流程

1清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由 于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水; 且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即米用无机酸将其氧化去除,最后用超纯水进行清洗,如图1-6所示。 图1-6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为: Si + O2 T SiO2

3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 —2P+3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶 和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 6、湿法腐蚀和等离子刻蚀 通过光刻显影后,光刻胶下面的材料要被选择性地去除,使用的方法就

【半导体研磨 精】半导体晶圆的生产工艺流程介绍

?从大的方面来讲,晶圆生产包括晶棒制造和晶片制造两大步骤,它又可细分为以下几道主要工序(其中晶棒制造只包括下面的第一道工序,其余的全部属晶片制造,所以有时又统称它们为晶柱切片后处理工序): 晶棒成长--> 晶棒裁切与检测--> 外径研磨--> 切片--> 圆边--> 表层研磨--> 蚀刻--> 去疵--> 抛光--> 清洗--> 检验--> 包装 1 晶棒成长工序:它又可细分为: 1)融化(Melt Down) 将块状的高纯度复晶硅置于石英坩锅内,加热到其熔点1420°C以上,使其完全融化。 2)颈部成长(Neck Growth) 待硅融浆的温度稳定之后,将〈1.0.0〉方向的晶种慢慢插入其中,接着将晶种慢慢往上提升,使其直径缩小到一定尺寸(一般约6mm左右),维持此直径并拉长 100-200mm,以消除晶种内的晶粒排列取向差异。 3)晶冠成长(Crown Growth) 颈部成长完成后,慢慢降低提升速度和温度,使颈部直径逐渐加大到所需尺寸(如 5、6、8、12吋等)。 4)晶体成长(Body Growth) 不断调整提升速度和融炼温度,维持固定的晶棒直径,只到晶棒长度达到预定值。 5)尾部成长(Tail Growth) 1

当晶棒长度达到预定值后再逐渐加快提升速度并提高融炼温度,使晶棒直径逐渐变小,以避免因热应力造成排差和滑移等现象产生,最终使晶棒与液面完全分离。到此即得到一根完整的晶棒。 2 晶棒裁切与检测(Cutting & Inspection) 将长成的晶棒去掉直径偏小的头、尾部分,并对尺寸进行检测,以决定下步加工的工艺参数。 3 外径研磨(Su rf ace Grinding & Shaping) 由于在晶棒成长过程中,其外径尺寸和圆度均有一定偏差,其外园柱面也凹凸不平,所以必须对外径进行修整、研磨,使其尺寸、形状误差均小于允许偏差。 4 切片(Wire Saw Sl ic ing) 由于硅的硬度非常大,所以在本工序里,采用环状、其内径边缘镶嵌有钻石颗粒的薄片锯片将晶棒切割成一片片薄片。 5 圆边(Edge Profiling) 由于刚切下来的晶片外边缘很锋利,硅单晶又是脆性材料,为避免边角崩裂影响晶片强度、破坏晶片表面光洁和对后工序带来污染颗粒,必须用专用的电脑控制设备自动修整晶片边缘形状和外径尺寸。 ? 6 研磨(Lapping) 研磨的目的在于去掉切割时在晶片表面产生的锯痕和破损,使晶片表面达到所要求的光洁度。 7 蚀刻(Etching) 1

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

半导体的生产工艺流程

半导体的生产工艺流程 微机电制作技术,尤其是最大宗以硅半导体为基础的微细加工技术 (silicon-basedmicromachining),原本就肇源于半导体组件的制程技术,所以必须先介绍清楚这类制程,以免沦于夏虫语冰的窘态。 一、洁净室 一般的机械加工是不需要洁净室(cleanroom)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型 鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统 中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆 放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(airshower)的程序,将表面粉尘 先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人 员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DIwater,de-ionizedwater)。 一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrierchannel),影响半导体组件的工作特性。去离子水以电阻率(resistivity)来定义好坏,一般要求至 17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与 UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使 用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔

晶圆封装测试工序和半导体制造工艺流程0001

盛年不重来,一日难再晨。及时宜自勉,岁月不待人 盛年不重来,一日难再晨。及时宜自勉,岁月不待人 A.晶圆封装测试工序 一、IC检测 1. 缺陷检查Defect Inspection 2. DR-SEM(Defect Review Scanning Electro n Microscopy) 用来检测出晶圆上是否有瑕疵,主要是微尘粒子、刮痕、残留物等问题。此外,对已印有电路图案的图案晶圆成品而言,则需要进行深次微米范围之瑕疵检测。一般来说,图案晶圆检测系统系以白光或雷射光来照射晶圆表面。再由一或多组侦测器接收自晶圆表面绕射出来的光线,并将该影像交由高功能软件进行底层图案消除,以辨识并发现瑕疵。 3. CD-SEM(Critical Dime nsioi n Measureme nt) 对蚀刻后的图案作精确的尺寸检测。 二、IC封装 1. 构装(Packaging) IC构装依使用材料可分为陶瓷(ceramic )及塑胶(plastic )两种,而目前商业应用上则以塑胶构装为主。以塑胶构装中打线接合为例,其步骤依序为晶片切割( die saw)、黏晶(die mount / die bond)、焊线(wire bon d)、圭寸胶(mold )、剪切/ 成形(trim / form )、印字(mark )、电镀(plating )及检验(inspection )等。 (1) 晶片切割(die saw ) 晶片切割之目的为将前制程加工完成之晶圆上一颗颗之晶粒(die )切割分离。举例来说:以 0.2微米制程技术生产,每片八寸晶圆上可制作近六百颗以上的64M微量。 欲进行晶片切割,首先必须进行晶圆黏片,而后再送至晶片切割机上进行切割。切割完后之 晶粒井然有序排列于胶带上,而框架的支撐避免了胶带的皱褶与晶粒之相互碰撞。 (2) 黏晶(die mou nt / die bo nd ) 黏晶之目的乃将一颗颗之晶粒置于导线架上并以银胶(epoxy)粘着固定。黏晶完成后之导线 架则经由传输设备送至弹匣( magazi ne )内,以送至下一制程进行焊线。 ⑶焊线(wire bond ) IC构装制程(Packaging )则是利用塑胶或陶瓷包装晶粒与配线以成集成电路( Integrated Circuit ;简称IC),此制程的目的是为了制造出所生产的电路的保护层,避免电路受到机械

半导体工艺半导体制造工艺试题库1 答案

一、填空题(每空1分,计31分) 1、工艺上用于四氯化硅的提纯方法有 吸附法 和 精馏法 。 2、在晶片表面图形形成过程中,一般通过腐蚀的方法将抗蚀膜图形转移到晶片上,腐蚀的方法有 湿法腐蚀 和 干法腐蚀 。 3、直拉法制备单晶硅的过程是:清洁处理——装炉——加热融化——拉晶,其中拉晶是最主要的工序,拉晶包括 下种 、 缩颈 、放肩、 等径生长 和收尾拉光等过程。 3、抛光是晶片表面主要的精细加工过程,抛光的主要方式有 化学抛光 、 机械抛光 和 化学机械抛光 。 4、掺杂技术包括有 热扩散 、 离子注入 、合金和中子嬗变等多种方法。 5、晶片中的锂、钠、钾等碱金属杂质,通常以 间隙式 (空位式或间隙式)扩散方式在晶片内部扩散,并且这类杂质通常称为 快扩散 (快扩散或慢扩散)杂质。 6、在有限表面源扩散中,其扩散后的杂质浓度分布函数符合 高斯分布函数 ; 而在恒定表面源扩散中,其扩散后的杂质浓度分布函数符合 余误差分布函数 。 7、在离子注入法的掺杂过程中,注入离子在非晶靶中的浓度分布函数满足对称的高斯分布,其浓度最大位于 R P 处。 8、在离子注入后,通常采用退火措施,可以消除由注入所产生的晶格损伤,常用的退火方式有 电子束退火 、 离子束退火 、 激光退火 。 9、根据分凝现象,若K 0>1,则分凝后杂质集中在 尾部 (头部或尾部);若K 0<1,则杂质分凝后集中在 头部 (同上)。 10、把硅片置于氯化氢和氧气的混合气体中进行的氧化,称为 掺氯氧化 。 11、在二氧化硅的热氧化方法中,氧化速度最快的是 干氧氧化 方法。 12、氢氧合成氧化设备中,两个重要的保险装置是 氢气流量保险装置 和 温度保险装置 。 13、工艺中常用的测量二氧化硅厚度的方法有 比色法 和 椭圆偏振光法 。 14、固态源硼扩散中常用的硼源是 氮化硼 ,常用的液态磷源是 三氯氧磷 。 15、箱法扩散在工艺中重要用来进行TTL 电路 隐埋层 的锑扩散。 二、选择题(每题2分,单项多项均有,计12分) 1、 在SiO 2网络中,如果掺入了磷元素,能使网络结构变得更( A ) (A )疏松 (B )紧密 (C )视磷元素剂量而言 2、 在微电子加工环境中,进入洁净区的工作人员必须注意以下事项(A 、B 、C 、D ) (A ) 进入洁净区要先穿戴好专用净化工作服、鞋、帽。 (B ) 进入洁净区前先在风淋室风淋30秒,然后才能进入。 (C ) 每周洗工作服,洗澡、理发、剪指甲,不用化妆品。 (D ) 与工作无关的纸张、书报等杂物不得带入。 3、离子注入设备的组成部分有(A 、B 、C 、D ) (A )离子源 (B )质量分析器 (C )扫描器 (D )电子蔟射器 4、CVD 淀积法的特点有(A 、C 、D ) (A )淀积温度比较低 (B )吸附不会影响淀积速度 (C )淀积材料可以直接淀积在单晶基片上 (D )样品本身不参与化学反应 5、 工艺中消除沟道效应的措施有(A 、B 、C 、D ) (A )增大注入剂量 (B )增大注入速度 (C )增加靶温 (D )通过淀积膜注入 6、液态源硼扩散所选用的硼源有(A 、B 、C ) (A )硼酸三甲脂 (B )硼酸三丙脂 (C )三溴化硼 (D )三氯氧磷 三、判断(每题1分,计10分) 1、Ⅰ号液是碱性过氧化氢清洗液。 ( R ) 2、筛选器是用来去除杂质离子的设备。 ( R ) 3、石墨基座的清洁处理,首先用王水煮沸,再用去离子水冲洗。 ( R ) 4、注入窗口中淀积的二氧化硅薄层是起退沟道的作用。 ( R ) 5、以一般能量注入的重离子,在进入靶片中,以电子阻挡为主。 ( F ) 6、硅烷热分解法淀积中,一旦源变成黄色就不能使用。 ( R ) 7、在二氧化硅氧化膜中,可动钠离子含量要求越高越好。 ( F ) 8、二氧化硅中的宏观缺陷是指用肉眼可以直接观察到的缺陷。 ( R ) 9、氮化硼(BN )是常用的固态硼杂质扩散源。 ( R ) 10、用四探针法可以测试扩散后的结深。 ( R ) 四、名词解释(每题5分,计20分) 1、杂质分凝 答:杂质在晶体中有一定分布,在固态中和液态中的分布又不一样,在晶体提纯时,利用杂质在晶体固态和液态的分布不一样,进行提纯,将杂质集中在晶体的头部或尾部,达到提纯的 装 订 班级 姓名 学号 成绩 - 学年第 学期 半导 第 学期 半导体制造工艺 半 导体制造工艺

芯片制作工艺流程

芯片制作工艺流程 工艺流程 1) 表面清洗 晶圆表面附着一层大约2um的Al2O3和甘油混合液保护之,在制作前必须进行化学刻蚀和表面清洗。 2) 初次氧化 有热氧化法生成SiO2 缓冲层,用来减小后续中Si3N4对晶圆的应力 氧化技术 干法氧化 Si(固) + O2 à SiO2(固) 湿法氧化 Si(固) +2H2O à SiO2(固) + 2H2 干法氧化通常用来形成,栅极二氧化硅膜,要求薄,界面能级和固定电荷密度低的薄膜。干法氧化成膜速度慢于湿法。湿法氧化通常用来形成作为器件隔离用的比较厚的二氧化硅膜。当SiO2膜较薄时,膜厚与时间成正比。SiO2膜变厚时,膜厚与时间的平方根成正比。因而,要形成较厚的SiO2膜,需要较长的氧化时间。SiO2膜形成的速度取决于经扩散穿过SiO2膜到达硅表面的O2及OH基等氧化剂的数量的多少。湿法氧化时,因在于OH基在SiO2膜中的扩散系数比O2的大。氧化反应,Si 表面向深层移动,距离为SiO2膜厚的0.44倍。因此,不同厚度的SiO2膜,去除后的Si表面的深度也不同。SiO2膜为透明,通过光干涉来估计膜的厚度。这种干涉色的周期约为200nm,如果预告知道是几次干涉,就能正确估计。对其他的透明薄膜,如知道其折射率,也可用公式计算出 (d SiO2) / (d ox) = (n ox) / (n SiO2)。SiO2膜很薄时,看不到干涉色,但可利用Si的疏水性和SiO2的亲水性来判断SiO2膜是否存在。也可用干涉膜计或椭圆仪等测出。 SiO2和Si界面能级密度和固定电荷密度可由MOS二极管的电容特性求得。(100)面的Si的界面能级密度最低,约为10E+10 -- 10E+11/cm –2 .e V -1 数量级。(100)面时,氧化膜中固定电荷较多,固定电荷密度的大小成为左右阈值的主要因素。 3) CVD(Chemical Vapor deposition)法沉积一层Si3N4(Hot CVD或LPCVD)。 1 常压CVD (Normal Pressure CVD) NPCVD为最简单的CVD法,使用于各种领域中。其一般装置是由(1)输送反

晶圆封装测试工序和半导体制造工艺流程

A.晶圆封装测试工序 一、IC检测 1. 缺陷检查Defect Inspection 2. DR-SEM(Defect Review Scanning Electron Microscopy) 用来检测出晶圆上是否有瑕疵,主要是微尘粒子、刮痕、残留物等问题。此外,对已印有电路图案的图案晶圆成品而言,则需要进行深次微米范围之瑕疵检测。一般来说,图案晶圆检测系统系以白光或雷射光来照射晶圆表面。再由一或多组侦测器接收自晶圆表面绕射出来的光线,并将该影像交由高功能软件进行底层图案消除,以辨识并发现瑕疵。 3. CD-SEM(Critical Dimensioin Measurement) 对蚀刻后的图案作精确的尺寸检测。 二、IC封装 1. 构装(Packaging) IC构装依使用材料可分为陶瓷(ceramic)及塑胶(plastic)两种,而目前商业应用上则以塑胶构装为主。以塑胶构装中打线接合为例,其步骤依序为晶片切割(die saw)、黏晶(die mount / die bond)、焊线(wire bond)、封胶(mold)、剪切/成形(trim / form)、印字(mark)、电镀(plating)及检验(inspection)等。 (1) 晶片切割(die saw) 晶片切割之目的为将前制程加工完成之晶圆上一颗颗之晶粒(die)切割分离。举例来说:以

0.2微米制程技术生产,每片八寸晶圆上可制作近六百颗以上的64M微量。 欲进行晶片切割,首先必须进行晶圆黏片,而后再送至晶片切割机上进行切割。切割完后之晶粒井然有序排列于胶带上,而框架的支撐避免了胶带的皱褶与晶粒之相互碰撞。 (2) 黏晶(die mount / die bond) 黏晶之目的乃将一颗颗之晶粒置于导线架上并以银胶(epoxy)粘着固定。黏晶完成后之导线架则经由传输设备送至弹匣(magazine)内,以送至下一制程进行焊线。 (3) 焊线(wire bond) IC构装制程(Packaging)则是利用塑胶或陶瓷包装晶粒与配线以成集成电路(Integrated Circuit;简称IC),此制程的目的是为了制造出所生产的电路的保护层,避免电路受到机械性刮伤或是高温破坏。最后整个集成电路的周围会向外拉出脚架(Pin),称之为打线,作为与外界电路板连接之用。 (4) 封胶(mold) 封胶之主要目的为防止湿气由外部侵入、以机械方式支持导线、內部产生热量之去除及提供能够手持之形体。其过程为将导线架置于框架上并预热,再将框架置于压模机上的构装模上,再以树脂充填并待硬化。 (5) 剪切/成形(trim / form) 剪切之目的为将导线架上构装完成之晶粒独立分开,并把不需要的连接用材料及部份凸出之树脂切除(dejunk)。成形之目的则是将外引脚压成各种预先设计好之形状,以便于装置于

晶圆封装测试工序和半导体制造工艺流程

晶圆封装测试工序和半导体制造工艺流程 A.晶圆封装测试工序 一、 IC检测 1. 缺陷检查Defect Inspection 2. DR-SEM(Defect Review Scanning Electron Microscopy) 用来检测出晶圆上是否有瑕疵,主要是微尘粒子、刮痕、残留物等问题。此外,对已印有电路图案的图案晶圆成品而言,则需要进行深次微米范围之瑕疵检测。一般来说,图案晶圆检测系统系以白光或雷射光来照射晶圆表面。再由一或多组侦测器接收自晶圆表面绕射出来的光线,并将该影像交由高功能软件进行底层图案消除,以辨识并发现瑕疵。 3. CD-SEM(Critical Dimensioin Measurement) 对蚀刻后的图案作精确的尺寸检测。 二、 IC封装 1. 构装(Packaging) IC构装依使用材料可分为陶瓷(ceramic)及塑胶(plastic)两种,而目前商业应用上则以塑胶构装为主。以塑胶构装中打线接合为例,其步骤依序为晶片切割(die saw)、黏晶(die mount / die bond)、焊线(wire bond)、封胶(mold)、剪切/成形(trim / form)、印字(mark)、电镀(plating)及检验(inspection)等。 (1) 晶片切割(die saw) 晶片切割之目的为将前制程加工完成之晶圆上一颗颗之晶粒(die)切割分离。 举例来说:以0.2微米制程技术生产,每片八寸晶圆上可制作近六百颗以上的64M 微量。

欲进行晶片切割,首先必须进行晶圆黏片,而后再送至晶片切割机上进行切割。切割完后之晶粒井然有序排列于胶带上,而框架的支撐避免了胶带的皱褶与晶粒之相互碰撞。 (2) 黏晶(die mount / die bond) 黏晶之目的乃将一颗颗之晶粒置于导线架上并以银胶(epoxy)粘着固定。黏晶完成后之导线架则经由传输设备送至弹匣(magazine)内,以送至下一制程进行焊线。 (3) 焊线(wire bond) IC构装制程(Packaging)则是利用塑胶或陶瓷包装晶粒与配线以成集成电路(Integrated Circuit;简称IC),此制程的目的是为了制造出所生产的电路的保护层,避免电路受到机械性刮伤或是高温破坏。最后整个集成电路的周围会向外拉出脚架(Pin),称之为打线,作为与外界电路板连接之用。 (4) 封胶(mold) 封胶之主要目的为防止湿气由外部侵入、以机械方式支持导线、內部产生热量之去除及提供能够手持之形体。其过程为将导线架置于框架上并预热,再将框架置于压模机上的构装模上,再以树脂充填并待硬化。 (5) 剪切/成形(trim / form) 剪切之目的为将导线架上构装完成之晶粒独立分开,并把不需要的连接用材料及部份凸出之树脂切除(dejunk)。成形之目的则是将外引脚压成各种预先设计好之形状,以便于装置于电路板上使用。剪切与成形主要由一部冲压机配上多套不同制程之模具,加上进料及出料机构所組成。 (6) 印字(mark)及电镀(plating) 印字乃将字体印于构装完的胶体之上,其目的在于注明商品之规格及制造者等资讯。

(工艺流程)2020年半导体硅片生产工艺流程及工艺注意要点

硅片生产工艺流程及注意要点 简介 硅片的准备过程从硅单晶棒开始,到清洁的抛光片结束,以能够在绝好的环境中使用。期间,从一单晶硅棒到加工成数片能满足特殊要求的硅片要经过很多流程和清洗步骤。除了有许多工艺步骤之外,整个过程几乎都要在无尘的环境中进行。硅片的加工从一相对较脏的环境开始,最终在10级净空房内完成。 工艺过程综述 硅片加工过程包括许多步骤。所有的步骤概括为三个主要种类:能修正物理性能如尺寸、形状、平整度、或一些体材料的性能;能减少不期望的表面损伤的数量;或能消除表面沾污和颗粒。硅片加工的主要的步骤如表1.1的典型流程所示。工艺步骤的顺序是很重要的,因为这些步骤的决定能使硅片受到尽可能少的损伤并且可以减少硅片的沾污。在以下的章节中,每一步骤都会得到详细介绍。 表1.1 硅片加工过程步骤 1.切片 2.激光标识 3.倒角 4.磨片 5.腐蚀 6.背损伤 7.边缘镜面抛光 8.预热清洗 9.抵抗稳定——退火 10.背封 11.粘片 12.抛光 13.检查前清洗 14.外观检查

15.金属清洗 16.擦片 17.激光检查 18.包装/货运 切片(class 500k) 硅片加工的介绍中,从单晶硅棒开始的第一个步骤就是切片。这一步骤的关键是如何在将单晶硅棒加工成硅片时尽可能地降低损耗,也就是要求将单晶棒尽可能多地加工成有用的硅片。为了尽量得到最好的硅片,硅片要求有最小量的翘曲和最少量的刀缝损耗。切片过程定义了平整度可以基本上适合器件的制备。 切片过程中有两种主要方式——内圆切割和线切割。这两种形式的切割方式被应用的原因是它们能将材料损失减少到最小,对硅片的损伤也最小,并且允许硅片的翘曲也是最小。 切片是一个相对较脏的过程,可以描述为一个研磨的过程,这一过程会产生大量的颗粒和大量的很浅表面损伤。 硅片切割完成后,所粘的碳板和用来粘碳板的粘结剂必须从硅片上清除。在这清除和清洗过程中,很重要的一点就是保持硅片的顺序,因为这时它们还没有被标识区分。 激光标识(Class 500k) 在晶棒被切割成一片片硅片之后,硅片会被用激光刻上标识。一台高功率的激光打印机用来在硅片表面刻上标识。硅片按从晶棒切割下的相同顺序进行编码,因而能知道硅片的正确位置。这一编码应是统一的,用来识别硅片并知道它的来源。编码能表明该硅片从哪一单晶棒的什么位置切割下来的。保持这样的追溯是很重要的,因为单晶的整体特性会随着晶棒的一头到另一头而变化。编号需刻的足够深,从而到最终硅片抛光完毕后仍能保持。在硅片上刻下编码后,即使硅片有遗漏,也能追溯到原来位置,而且如果趋向明了,那么就可以采取正确的措施。激光标识可以在硅片的正面也可在背面,尽管正面通常会被用到。

设备生产制造工艺流程图

设备生产制造工艺流程图 主要部件制造要求和生产工艺见生产流程图: 1)箱形主梁工艺流程图 原材料预处理划线下料清理 材质单与喷涂划划数半剪清割坡 钢材上炉丸富出出控自除渣口 号批号一除锌拱外自动焊等打 一对应油底度形动气切区打磨 锈线线气割 割 校正对接拼焊无损探伤装配焊接清理 达度埋超X 确垂内工清焊到要弧声光保直部电除渣平求自波拍隔度先焊内杂直动片板用接腔物 焊手 检验装配点焊四条主缝焊接清理校正 内焊装成用Φ清磨修修振腔缝配箱埋HJ431 除光正正动检质下形弧直焊焊拱旁消验量盖主自流渣疤度弯除板梁动反应 焊接力自检打钢印专检待装配 操专质 作检量 者,控 代填制 号写表

2)小车架工艺流和 原材料预处理划线下料清理 材质单与喷涂划划数半剪清割坡 钢材上炉丸富出出控自除渣口 号批号一除锌拱外自动焊等打 一对应油底度形动气切区磨 锈线线气割 校正对接拼焊无损探伤装配焊接清理 达度埋超X 确垂内工清焊 到要弧声光保直部电除渣 平求自波拍隔度先焊内杂 直动片板用接腔物 焊手 检验装配点焊主缝焊接清理校正 内焊清磨修修振应腔缝除光正正动力检质焊焊拱旁消验量渣疤度弯除 自检划线整体加工清理 A表A表 行车行车 适用适用 自检打钢印专检待装配 操专质

作检量 者,控 代填制 号写表 3)车轮组装配工艺流程图 清洗检测润滑装配 煤清轮确尺轴部 油洗孔认寸承位 或轴等各及等加 洗承部种公工润 涤,位规差作滑 剂轴格剂 自检打钢印专检待装配 操 作 者 代 号 4)小车装配工艺流程图 准备清洗检测润滑 场按领煤清轴确尺轴加最注 地技取于油洗及认寸承油后油 清术各或轴孔各及内减 理文件洗承等件公、速件涤齿部规差齿箱 剂轮位格面内 装配自检空载运行检测标识入库 螺手起行噪 钉工升走音 松盘机机震 紧动构构动

半导体工艺流程

集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1-6所示。 图1-6硅片清洗工艺示意图 工具的清洗基本采用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250℃高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为: Si + O2→SiO2

扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B 2H 6)作为N -源和磷烷(PH 3)作为P +源。工艺生产过程中通常分为沉积源和驱赶两步,典型的化学反应为: 2PH 3 → 2P + 3H 2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。 6、湿法腐蚀和等离子刻蚀 通过光刻显影后,光刻胶下面的材料要被选择性地去除,使用的基片 涂胶后基片 光刻胶 阻挡层

LCD生产工艺流程图

ITO Feeding First Cleaning Photoresist Coating Pre Curing Photoresist Inspection UV Exposal Exposal Inspection Developing Developing Inspection Post Curing Etching Stripping Final Cleaning PI Coating Pre Curing PI Inspection PI Curing Rubbing Com Dots Printing Seal Resin Printing Pre Curing Spacer Spray Glass Assembling Seal Curing Glass Scribed Stick Strip Insert Strip LC Injection QC Inspection Levelling End-sealing Edge Milling Insert LCD Edging 3rd Cleaing Take out the LCD Visual Inspection QC Rrandom Inspection Functional Inspection QC Random Inspection Surface Printing Printing Inspection Polarizer Attachment Defoaming Polarizer Full Inspection QC Random Inspection Point Carbon Pin Insertion UV Glue in Front Side Cut Pin UV Glue in Back Side Cut Pin Bottom Functional Inspection QC Random Inspection Appearance Full Inspection Appearance Random Inspection Date Code Printing Stick Tear Tape Stick Foam Pad Full Inspction OQA Random Inspection Packing Packing Inspection Sealed Box Warehouse LCD PROCESS CHART E-mail: lcdmaker@https://www.sodocs.net/doc/1412683583.html,

半导体制造工艺期末考试重点复习资料

1、三种重要的微波器件:转移型电子晶体管、碰撞电离雪崩渡越时间二极管、MESFET。 2、晶锭获得均匀的掺杂分布:较高拉晶速率和较低旋转速率、不断向熔融液中加高纯 度多晶硅,维持熔融液初始掺杂浓度不变。 3、砷化镓单晶:p型半导体掺杂材料镉和锌,n型是硒、硅和锑 硅:p型掺杂材料是硼,n型是磷。 4、切割决定晶片参数:晶面结晶方向、晶片厚度(晶片直径决定)、晶面倾斜度(从 晶片一端到另一端厚度差异)、晶片弯曲度(晶片中心到晶片边缘的弯曲程度)。5、晶体缺陷:点缺陷(替位杂质、填隙杂质、空位、Frenkel,研究杂质扩散和氧化 工艺)、线缺陷或位错(刃型位错和螺位错,金属易在线缺陷处析出)、面缺陷(孪晶、晶粒间界和堆垛层错,晶格大面积不连续,出现在晶体生长时)、体缺陷(杂质和掺杂原子淀积形成,由于晶体固有杂质溶解度造成)。 6、最大面为主磨面,与<110>晶向垂直,其次为次磨面,指示晶向和导电类型。 7、半导体氧化方法:热氧化法、电化学阳极氧化法、等离子化学汽相淀积法。 8、晶体区别于非晶体结构:晶体结构是周期性结构,在许多分子间延展,非晶体结构 完全不是周期性结构。 9、平衡浓度与在氧化物表面附近的氧化剂分压值成正比。在1000℃和1个大气压下, 干氧的浓度C0是5.2x10^16分子数/cm^3,湿氧的C0是3x10^19分子数/cm^3。

10、当表面反应时限制生长速率的主要因素时,氧化层厚度随时间呈线性变化 X=B(t+)/A线性区(干氧氧化与湿氧氧化激活能为2eV,);氧化层变厚时,氧化剂必须通过氧化层扩散,在二氧化硅界面与硅发生反应,并受扩散过程影响,氧化层厚度与氧化时间的平方根成正比,生长速率为抛物线X^2=B(t+)抛物线区(干氧氧化激活能是1.24Ev,湿氧氧化是0.71eV)。 11、线性速率常数与晶体取向有关,因为速率常数与氧原子进入硅中的结合速率和 硅原子表面化学键有关;抛物线速率常数与晶体取向无关,因为它量度的是氧化剂穿过一层无序的非晶二氧化硅的过程。 12、较薄的氧化层MOSFET栅氧化层用干氧氧化,较厚的用湿氧氧化,如MOS集成 电路中的场氧化层和双极型器件,以获得适当隔离和保护,20nm为界限。 13、给定氧化条件下,在<111>晶面衬底上生成的氧化层厚度大于<100>晶面衬底, 因为<111>方向线性速率常数更大。值得注意的是温度和时间相同时,湿氧氧化厚度是干氧的5~10倍。 14、氧化掩膜厚度一般用实验测量方法获得,主要取决于特定温度和时间下,不能 使低掺杂硅衬底发生反型,典型厚度为0.5um~1.0um。 15、二氧化硅中各掺杂杂质扩散常数依赖氧的密度、性能和结构。 16、MOS器件受氧化层中的电荷和位于二氧化硅-硅界面处势阱影响。 17、势阱和电荷的基本类别:界面势阱电荷Qit(由于二氧化硅-硅界面特性产生, 取决于这个界面的化学组分,势阱位于二氧化硅-硅界面处,能态在硅禁带中,界

半导体制造工艺流程

半导体制造工艺流程

半导体元件制造过程可分为 ?前段(Front End)制程 晶圆处理制程(Wafer Fabrication;简称Wafer Fab)、 晶圆针测制程(Wafer Probe); ?後段(Back End) 构装(Packaging)、 测试制程(Initial Test and Final Test)

一、晶圆处理制程 ?晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。

二、晶圆针测制程 ?经过Wafer Fab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(Ink Dot),此程序即称之为晶圆针测制程(Wafer Probe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒

半导体制造工艺流程

半导体制造工艺流程集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随着产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接着进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程

经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC构装制程(Packaging):利用塑胶或陶瓷包装晶粒与配线以成积体电路 目的:是为了制造出所生产的电路的保护层,避免电路受到机械性刮伤或是高温破坏。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离) ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺:

相关主题