搜档网
当前位置:搜档网 › 篮球竞赛24秒计时器数电课程设计

篮球竞赛24秒计时器数电课程设计

篮球竞赛24秒计时器数电课程设计
篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计

专业:电气工程及其自动化

班级:电气1202班

指导老师:胡新晚

姓名:曾瑞琪

计数器概述

篮球竞赛24秒计时器功能

随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。

本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。

设计任务及要求

基本要求

(1)显示24秒计时功能。

(2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。

(3)计时器为24秒递减计时器,其计时间隔为1秒。

(4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。

设计任务及目标

(1)根据原理图分析各单元电路的功能;

(2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求;

(4)写出完整、详细的课程设计报告

主要参考器件

555 晶体定时器

74 LS00

74LS48译码器

74LS192十进制可编程同步加锁计数器

电路设计原理与单元模块

设计原理

24秒计时器的总体参考方案框图如图2-1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。

图2-1 24秒计时器系统设计框图

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但是设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡其构成。

译码显示电路由74LS48(译码器)和共阴极七段LED显示器组成。报警电路在试验中可用发光二极管与蜂鸣器代替。

设计方案

在本设计中,首先设计好24进制计数器,因为它作为本设计的核心部分,是设计的最主要的一部分。在此次设计中,我们选择74LS192进行24进制同步减法计数。同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,选择两个七段数码显示管进行显示。根据设计要求,本课程设计采用555计时器制成的多谐振荡器,来进行秒脉冲的输入。在本设计中,因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了三个开关来控制计数器的各功能的实现,从而实现了各种功能的实现。

各单元电路的设计

24进制计数器的设计

根据设计要求,本设计需要实现24进制递减功能,所以本设计采用十进制同步减法计数,因此使用74LS192来实现计数功能。十进制可逆计数器74LS192引脚排列及逻辑符号如图2.3.1(a)、(b)所示,它具有双时钟输入,并具有清除和置数等功能。

(a)引脚排列 (b) 逻辑符号

图2.3.1 74LS192的引脚排列及逻辑符号

图中:

为置数端,

为加计数端,

为减计数端,为非同步进

位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下图2.3.2所示:

输入输出

MR P3 P2 P1 P0 Q3 Q2 Q1

Q0

1 ×

××××××0 0 0 0

0 ×× d c b a d c b a

1 ↑ 1 ××××加计数

1 1 ↓××××减计数

图2.3.2 74LS192的功能表

在本次设计中,因为我们要实现24进制计数功能,所以我们首先应该对计数器进行置数,由于(24)10=(00100100)8421BCD所以要先对741192两芯片进行置数,令第一块集成块的置数端Q3Q2Q1Q0=0010,令第二块的为Q3Q2Q1Q0=0100。把第二块芯片的借位端与第一块芯片的脉冲输入端相连,从而进行实现借位功能。

数码显示译码器的设计

在本设计中,根据设计的要求,我使用74LS48译码器来驱动共阴极数码显示管, 74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。74LS48的引脚图和功能表分别如图2.3.3和表2.3.1所示。

图2.3.3<74ls48引脚图>

表2.3.1 74LS48的功能表

输入输出字

数字LT RBI D C B A BI/RBO a b c d e f g

0 1 2 3 4 5 6 7 8 9 1

1

1

1

1

1

1

1

1

1

1

X

X

X

X

X

X

X

X

X

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1

1

1

1

1

1

1

1

1

1

1 1 1 1 1 1 0

1 1 0 0 0 0 0

1 1 0 1 1 0 1

1 1 1 1 0 0 1

0 1 1 0 0 1 1

1 0 1 1 0 1 1

1 0 1 1 1 1 1

1 1 1 0 0 0 0

1 1 1 1 1 1 1

1 1 1 1 0 1 1

1

2

3

4

5

6

7

8

9

消隐脉冲消隐灯测试X

1

X

X

XXXX

0 0 0 0

XXXX

1

0 0 0 0 0 0 0

0 0 0 0 0 0 0

1 1 1 1 1 1 1

8

七短数码显示管的引脚图如图2.3.4所示,其中3脚和8脚相连为公共端,在电路中接地,6脚为小数点引脚,设计中不需要对其处理。

图2.3.4七短数码显示管的引脚图

秒脉冲的设计

根据设计要求,本电路需要产生间隔为一秒的时间脉冲,完成正确的计数功能。所以选择555定时器来设计此模块。从而产生标准的秒脉冲。

1.器件特性

555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

集成555定时器有双极性型和CMOS 型两种产品。一般双极性型产品型号的最后三位数都是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见图2.3.5

555定时器的内部电路框图及逻辑符号和管脚排列分别如图2.3.6和内部结

构如图2.3.7所示。 引脚功能:

Vi1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。

TH

6

TR 2Dis

7

V C C

8

R d

4

Q

3

G N D

1

Vco

5

555

1

2

3

4

5

678GND TR Vo Rd

Vco TH Dis VCC 555.

.

(a) 555的逻辑符号

(b) 555的引脚排列

图2.2.6 555定时器逻

图2.3.5 (a)双极性型5G555的主要性能参数

参数名称 符号 单位 参数 电源电压 V CC V 5~16 电源电流 I CC mA 10

阈值电压

V TH V 32

V CC

触发电压 V TR V 3

1V CC 输出低电平 V OL V 1 输出高电平 V OH V 13.3 最大输出电流 I OMAX mA ≤200 最高振荡频率 f MAX KHz ≤300 时间误差

△t

nS

≤5

① V TH 即V i1 ,V TR 即V i2 。 (b) CMOS 型7555的主要性能参数

参数名称 符号 单位 参数 电源电压 V CC V 3~18 电源电流 I CC μA 60

阈值电压

V TH V 32

V DD

触发电压 V TR V 3

1V DD 输出低电平 V V 0.1 输出高电平 V V 14.8 最大输出电流 I OMAX mA ≤200 最高振荡频率 f MAX KHz ≥500 时间误差

△t

nS

图2.2.7 555定时器内部结构

R

5K

R

5K

R

5K

C1

C2

G1

G2

G3

Rd

Vi1(TH)

Vi2

(TR)

VCC

T

Vco

R1

Vo

Vo'

Dis Q

Q S

R

.

.

Vi2(TR):低电平触发端,简称低触发端,标志为TR。

VCO:控制电压端。

VO:输出端。

Dis:放电端。

Rd:复位端。

555定时器内含一个由三个阻值相同的电阻R组成的分压网络,产生31VCC 和32VCC两个基准电压;两个电压比较器C1、C2;一个由与非门G1、G2组成的基本RS触发器(低电平触发);放电三极管T和输出反相缓冲器G3。

Rd是复位端,低电平有效。复位后, 基本RS触发器的Q端为1(高电平),经反相缓冲器后,输出为0(低电平)。

分析图2.2.3.1的电路:在555定时器的VCC端和地之间加上电压,并让VCO悬空,则比较器C1的同相输入端接参考电压32VCC,比较器C2反相输入端接参考电压31VCC ,为了学习方便,我们规定:

当TH端的电压>32VCC时,写为VTH=1,当TH端的电压<32VCC时,写为VTH=0。

当TR端的电压>31VCC时,写为VTR=1,当TR端的电压<31VCC时,写为VTR=0。

低触发:当输入电压Vi2<31VCC 且Vi1<32VCC时,VTR=0,VTH=0,比较器C2输出为低电平,C1输出为高电平,基本RS触发器的输入端S=0、R=1,使Q=1,Q=0,经输出反相缓冲器后,VO=1,T截止。这时称555定时器“低触发”;

保持:若Vi2>31VCC 且Vi1<32VCC,则VTR=1,VTH=0,S=R=1,基本

RS触发器保持,VO和T状态不变,这时称555定时器“保持”。

高触发:若Vi1>32VCC,则VTH=1,比较器C1输出为低电平,无论C2输出何种电平,基本RS触

表2.2.2 555定时器控制功能表

输入输出TH TR d

R

V O Dis ×

<

3

2V

CC

×

<

3

1V

CC

L

H

L

H

导通

截止

发器因R=0,使Q=1,经输出反相缓冲器后,VO=0;T导通。这时称555定时器“高触发”。

VCO为控制电压端,在VCO端加入电压,可改变两比较器C1、C2的参考电压。正常工作时,要在VCO和地之间接0.01μF(电容量标记为103)电容。放电管Tl的输出端Dis为集电极开路输出。555定时器的控制功能说明见表2.2.2 根据555定时器的控制功能,可以制成各种不同的脉冲信号产生与处理电路电路,例如,史密特触发器、单稳态触发器、自激多谐振荡器等。在此次设计中,采用多谐振荡器来设计。

2.自激多谐振荡器

图2.3.8所示为自激多谐振荡器电路和波形图。自激多谐振荡器用于产生连续的脉冲信号。电路采用电阻、电容组成RC定时电路,用于设定脉冲的周期和宽度。调节RW或电容C,可得到不同的时间常数;还可产生周期和脉宽可变的方波输出。

脉冲宽度计算公式:Tw1=0.7 (R1 +R2) C Tw2=0.7R2 C

振荡周期计算公式:T=0.7 (R1 +2R2) C≈1s

图2.3.8

分析方法与单稳态电路相似,但电容器C的充电电阻是R1 +R2 ,放电电阻是R2 。当VC是低电平时,555定时器低触发,VO为高电平,放电管T截止,电容器经(R1 +R2)充电,当充电至VC=VTH>32VCC时,电路高触发,输出

VO变为低电平,放电管T导通,电容器经R2放电,当放电至VC=VTR<31VCC 时,电路又进入低触发,VO变为高电平,如此周而复始,循环不止,输出连续脉冲信号。

各控制开关的设计

启动、暂停、清零功能的设计

在本次设计中,由于设计的要求,要实现计数器的暂停、复位和启动控制,所以需要设计三个开关来控制电路,对于启动和清零开关而言,我们只需设计一个以电平的高低来控制的按键开关即可,把开关一端接地,另一端通过一电阻接电源,其中启动开关和74192的11脚相连,而清零开关与74192的14脚相连即可。在这里,主要介绍暂停开关的设计,因为实际使用时开关会有抖动现象,所以我用基本RS触发器来设计暂停开关相连,用来消除抖动。基本RS触发器如图2.3.9为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和保持三种功能。通常称S为置“1”端,因为S=0时触发器被置“1”;R为置“0”端,因为R=0时触发器被置“0”。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

图2.3.9 二与非门组成的基本RS触发器

(a)逻辑图(b) 逻辑符号

基本RS触发器的逻辑符号见图2.3.9 (b),二输入端的边框外侧都画有小圆圈,这是因为置1与置0都是低电平有效。输入74192的时钟脉冲由下图2.3.10所示电路输出接到74LS192的CPD脚。还有一端输入由借位信号输入。

图2.3.10

由于元器件限制,本设计中所使用的2进1出和3进1出的与非门均由集成芯片代替,其中2进1出芯片为74LS00,3进1出为74LS10,由于芯片很简单,

所以没有过多介绍。以下图2.3.11为两芯片的引脚图。

图2.3.11

总电路设计仿真图

各控制开关的使用功能

电路图如上图所示,根据设计要求,要达到清零、暂停、复位和报警功能,所以在此设计中,使用了三个开关来实现各功能。电路通过开关S1来控制电路的启动和复位功能,它通过接高低电平输入74192的预置端11脚来控制计数器的启动和复位。开关S2控制计数器的清零功能,它通过输入高低电平的输入74192的14脚CR清除端来实现清零功能。而S3则通过加高低电平来实现基本RS触发器,从而控制计数器的暂停和连续功能。

结论

本设计主要通过模块化思想,逐步实现设计所需达到的功能要求;

时钟模块为减计数提供一个频率为1HZ的脉冲信号,从而实现计数器计数间隔为1秒钟;

计数、译码显示模块主要是为了达到能显示减计数功能;

报警模块是为了实现当减计数到零时发出光电报警信号;

控制模块主要是为了实现计时器的启动、直接清零和暂停/连续功能,其中在直接清零时。由外控制开关控制译码器消隐端,从而可以实现显示译码器灭灯,通过暂停/连续开关从而实现断定计时功能。

至此,本设计完成所有任务及要求。

此次电子线路课程设计让我获益匪浅,使我尝到了将所学的知识用于实践的喜悦和成就感。

此课程设计所设计制作的篮球竞赛24秒计时器是一个实用性设计。此次设计的成不仅为所学的专业课程打下了坚实的基础,提高了我们对分析与解决问题的能力,也在研究与电子爱好追求上做了一个很好的起步。

在这个设计中,我学到了学习理论时学不到的东西,不但锻炼我的动手能力而且巩固我们所学的理论知识,这样实践与理论相结合就可以更快而有效地掌握知识。

同时非常的感谢在设计与制作的过程中热心指导与帮助我的老师和同学们。

实验元器件清单

器件名称数量和型号

同步十进制递减集成块74LS192芯片2块

译码驱动器74LS48芯片2块

译码显示管共阴极七段LED显示管2块

2进1出与非门集成芯块7400集成芯片1块

蜂鸣器1个

秒脉冲触发器555芯片1块

电阻15K、62K、20K、1K、3.7K、4.7K分

别一个

电容0.01μF、10μF各一个

开关四脚开关3个、六脚开关1个

发光二极管1个

双孔插槽2个

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

24秒计时器课程设计

电子课程设计篮球24秒计时器 班级:自动化092201H班 姓名:陈鹏飞 学号:200922060101

目录 序言 (3) 一、设计任务及要求 (3) 二、总体框图 (3) .......................................................................................................... .......................................................................................................... .......................................................................................................... 三、选择器件 (4) ........................................................................................................... .......................................................................................................... 四、功能模块 (8) 五、总体电路设计 (12) 六、参考文献 (14) 七、心得体会 (14)

序言 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,新的规则还要 求进攻方在24秒内有一次投篮动作,否则视为违例。本人设计了一个篮球比赛计时器,可对比赛总时间和各方每次控球时间既是。该计时器采用按键操作,LED 显示,非常实用,此计时器也可作为其他球类比赛的计时器。 篮球24秒计时器 一、设计任务与要求 1. 有显示24秒的计时功能 2. 置外部操作开关,控制计时器的直接清零,起碇和暂停连续功能 3. 计时器喂24秒递减计时器,其间隔为1秒 4. 计时器递减计时到0时,数码显示器不能灭灯 应发出光电报警信 号 二、总体框图 二. 1秒脉冲发生器: 秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。 不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。一般情况下,要做出一个精度比较高的 频率很低的振荡器有一定的难度 工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低 精度比较高的脉冲信号发生器,其精度取决于振荡 秒脉冲发生器 外部操作信号 译码/显示电路 24t 计数器 控制电路 报警电路

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

数字电子技术课程设计-篮球24秒计时课程设计

数字电子技术课程设计 报告

题目篮球竞赛24S计时器 姓名:李想 专业:光电信息科学与工程 班级:132班 学号:180335244 任课老师:刘念 篮球竞赛24S计时器 刖言 计时器概述 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理 论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码

管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 一、设计任务及要求 1设计任务 本设计主要能完成:在篮球比赛中,规定球员的持球时间不能超过24秒,否则就犯规了。本课程设计“篮球24秒计时器”可用于篮球比赛中,用于对球员持球24秒的限制。一旦球员持球时间超过24秒,他就报警。 2设计要求 1、电路具有时间显示功能, 2、要求电路为24秒递减计时,; 3、元器件及参数选择 4、要求外部开关控制清零,暂停和复位,; 5、电路仿真与调试; 3、主要器材:1、七段共阴极数码2个 2、74LS192D 3、74LSO8D 4、74LSOOD 5、蜂鸣器 6、发光二极管 7、脉冲信号源&单刀双掷开关。 (4)编写设计报告

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

篮球比赛24秒计时器的设计

赣南师院 物理与电子信息学院数字电路课程设计报告书 姓名: 班级:电子信息工程09级 学号: 时间:2011年 6 月15日

论文题目篮球比赛24秒计时器的设计 课程论文要求在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计的“篮球比赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 具体要求如下: 1、设计一个篮球比赛24秒计时器,具备显示24秒计时功能; 2、计时器为递减工作,时间间隔为1S; 3、递减到零时发光报警; 4、设置外部开关,控制计时器的启动、暂停。 设计过程一、设计方案 1、硬件实验:本次试验由秒脉冲发生器、计数器、译码与显示电路、报警 电路和控制电路(辅助时序控制电路)等五个部分组成,本设 计利用555作为振荡电路,74LS161实现十分频,由74LSl92、 74LS48和七段共阴LED数码管构成计时电路,具有计时器 启动、暂停、连续计时和报警功能,绘制好电路图,焊好电路 板。 2、软件实验:在QUARTUSII软件中,运用VHDL语言编写程序,其中 包括计数器,计时器,控制部分以及七段显示码译码器部分, 再利用仿真检测结果,最后到实验室下载记录结果,实现功能。 二、设计思路 在NBA篮球比赛中有一个24秒进攻规则,即从获取球权到投篮击中篮板、篮框、命中或投篮被侵犯,其有效时间合计不能超过24秒,否则被判违例,将失去球权。在此过程中,设置24秒、启动倒计时、暂停倒计时或者中途终止24秒(即球权归对方)均由裁判控制。 1、计数器和控制电路是系统的主要部分,计数器完成24秒计时功能,而 控制电路具有直接控制计数器的启动计数、暂停/连接计数、译码显示 电路的显示和灭灯等功能。 本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED 数码管构成计时电路,具有计时器、启动、暂停、连续计时和报警功能。 该电路制作、调试简单,采用普通器件,一装即成

NBA篮球竞赛24秒计时器的设计

电子技术课程设计课程题目:设计NBA篮球竞赛24秒计时器 NBA篮球竞赛24秒计时器的设计在这几天的课程设计中,我们一组成员运用数字电路和模拟电路的基本知识,共同设计完成制作了一个NBA篮球竞赛24秒计时器。 一.计时器电路的设计要求 这次实践要求设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。

图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下 2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。

74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由 7 条发光二极管(a~g )和 1 个点状发光二极管 (h) 组成。是共阴极器件(阴极接地),给 a~g 高电平,相应的二极管发光。 四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图 2所示, 它是由555定时器组成的多谐振荡器。 定时元件R1 为630k Ω, R2 为400k Ω, C 为1μF,产生1Hz 的标准脉冲信号。 计算公式如下: 充电时间为 =( + ) Cln2 ≈ 0.7 ( + ) C

NBA篮球竞赛24秒计时器课程设计报告

电子技术综合课程 设计 课程:电子技术综合课程设计 题目:NBA篮球竞赛24秒计时器 所属院(系): 物理与电信工程学院 专业班级: 通信1104班 姓名: 学号: 指导老师刘亚峰 完成地点c1103 2014年 10 月 8 日

前言 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。

目录 前言 (1) 任务书 (4) 1 计时器的概述 (5) 1.1计时器概述 (5) 1.2 设计任务及目标 (5) 1.3 方案论证 (5) 2 理论设计 (6) 2.1 理论电路的设计 (6) 2.2 电源部分的设计 (8) 2.3 控制电路的设计 (8) 2.4标准脉冲发生电路的设计 (10) 2.5 计数器及译码显示电路的设计 (10) 2.6 报警电路 (11) 2.7 整体电路初稿 (12) 3 仿真调试及实验装调 (13) 3.1 电路的安装 (13) 3.2 电路的调试 (14) 3.3 电路的置数状态 (14) 3.4 电路的开始状态 (15) 3.5 报警状态 (16) 4 心得体会 (17)

相关主题