搜档网
当前位置:搜档网 › 数电课程设计-30秒倒计时器

数电课程设计-30秒倒计时器

数电课程设计-30秒倒计时器
数电课程设计-30秒倒计时器

30秒倒计时计数器设计

——数字电子计数基础课程设计

学院:计算机学院

专业班级:通信工程10-2班

时间:2013年1月7日

目录

设计要求 (3)

正文

一、倒计时器组成及原理 (3)

1.1倒计时计数器组成 (3)

1.2工作原理 (3)

二、拟定设计方案 (4)

2.1用Multisim进行仿真设计 (4)

2.2设计实现数码管显示 (4)

2.3设计555定时振荡实现秒振荡发生功能 (4)

2.4设计实现减法计数功能 (5)

2.5设计实现二位数减法计数功能 (5)

2.6设计实现反馈电路实现30秒计数功能 (5)

2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5)

2.7.1清零/复位电路 (5)

2.7.2暂停/继续计数电路 (6)

2.7.3启动电路 (7)

2.8设计实现闪烁报警电路 (8)

三、功能说明总结 (9)

四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录:

一、电路原理图 .................................................................................11 二、元器件明细表 (11)

设计要求:

设计30秒倒计时计数器。

30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能;

2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能;

3、计时器计时间隔为1秒;

4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。

5、计时器暂停计数时,数码管闪烁提醒;

正文:

一、倒计时器组成及原理

1.1倒计时计数器组成

倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下:

倒计时计数器原理组成框图

秒定时振荡 发生器

减法计数器 数码管译码器 七段数码管显示

控制电路

闪烁报警电路

图1

1.2工作原理

当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减法计数器的控制端实现对电路保留、启动、清零/复位和暂停/继续计数功能的控制;利用JK 触发器的翻转状态特性和译码器BI/RBO端的控制实现闪烁报警功能。

二、拟定设计方案

2.1用Multisim进行仿真设计

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。Multisim中提供了丰富的硬件数据可供选择,它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。通过Multisim可以及时仿真实现电路设计功能并及时发现存在的问题进行改正,可以确保设计的电路能够正常实现应有的功能。

2.2设计实现数码管显示

选取共阴极七段红色数码管作为显示器,译码器选择74LS48N,将译码器的LT、RBI 端直接接高电平,BI/RBO也接高电平,将七段数码管的七个引脚分别接100Ω电阻后于译码器输出端相连,在译码器输入端输入电平实现了数码管显示功能。

2.3设计555定时振荡实现秒振荡发生功能

如图2,用555定时器、电容电阻组成多谐振荡发生器,C1选择1uF,图中C1为100nF 为仿真实验用数据,C2选择10nF,电阻均为5.1kΩ,由周期计算公式:

T≈0.7(R1+2R2)C1 ≈ 1s

图2

2.4设计实现减法计数功能

选用74LS191N加减计数器作为减法计数器芯片,U/D加减控制端接高电平将74LS191N 设置为减法计数状态,将74LS191N输出端与74LS48N译码器的输入端相接,脉冲接555定时振荡电路产生的谐振脉冲,实现减法计数功能。

2.5设计实现二位数减法计数功能

级联两片均设置为减法计数器的74LS191N,将低位减计数器的进位端RCO接高位减计数器的EN使能端(图中为CTEN端),将数码管、电阻及译码器74LS48N按2.2中说明连接,实现二位数减计数功能。

2.6设计实现反馈电路实现30秒计数功能

如图3,采用74LS191N异步置数,高位反馈输出OA、OB通过两个2输入与非门两次与非反馈给D触发器RESET端,为实现控制功能准备,最终反馈给预制LD端(电路图中为LOAD端);低位反馈输出OB、OD同高位方法实现。高位预置数端DCBA预置0100,低位预置数端DCBA预置1001,实现30秒计数。

图3

2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路

2.7.1清零/复位电路

高、低位74LS191N的反馈信号分别通过两个2输入与非门两次与非输入D触发器的RESET端,同时D端与清零/复位控制电路相连,D触发器输出Q再反馈会LOAD端(即LD端),两个D触发器的D端均与开关J4所在清零/复位控制电路电阻、二极管右端,开关左端相接(如图3),高位74LS191N的高电平预置数与低位74LS191N的高电平预置数端与D输入接线位置相同,使得开关闭合前高低位74LS191N的高电平预置数及D为高电平,闭合后高低位74LS191N的高电平预置数及D为低电平,从而控制LD预置端实现清零和复位功能。

如图4,J4控制电路为清零/复位控制电路,J4为控制开关,闭合清零,开启复位。

图4

2.7.2暂停/继续计数电路

单刀单掷开关J1所在电路为暂停/继续计数功能电路。如上图4,开关J1闭合前,J1所在电路反馈低电平,当J1闭合后,J1所在电路反馈高电平,反馈信号经如下图5两个或非门两次或非输入D触发器输入D端(如下图5),D触发器输出Q接低位74LS191N的CTEN端(及EN使能端),上面的JK触发器的输出端与第一个或非门的另一输入端相连。电路工作时,当J1断开,正常工作,当J1闭合时,使能端CTEN变为高电平,低位74LS191N

输出保持,使电路进入暂停状态,断开J1则继续计数。

图5

2.7.3启动电路

如上图5,J3所在为启动控制电路,当J1处于断开状态,RESET端为低电平,当闭合J1后,RESET端为高电平,JK触发器输出置0,正常工作状态下第一级或非门另一输入为0,经两级或非后输入D触发器,且输入为低电平,即输出端Q输出低电平至CTEN(EN 使能端)使电路启动。

74LS191N功能表如图:

预置使能

加/减

控制时钟预置数据输入输出

工作模式

LD EN D/U CP D3 D2 D1 D0Q3 Q2 Q1 Q0

0 x x x d3 d2 d1 d0d3 d2 d1 d0异步置数

1 1 x x x x x x 保持数据保持1 0 0 x x x x 加法计数加法计数1 0 1 x x x x 加法计数减法计数

图6

2.8设计实现闪烁报警电路 根据译码器控制端BI/RBO 功能 功能

(输入)

输入

输入

/输出 输出

LT RBI A 3 A 2 A 1 A 0 BI/RBO

a b c d e f g 灭灯

x

x

x

x

x

x 0

0 0 0 0 0 0

图7

如图7,当BI/RBO 为0时,不论LT ,RBI 及A3A2A1A0为何值,输出为0且数码管为灭灯状态。当BI/RBO 为1时,正常输出输入数据。

对于JK 触发器,J 端接高电平,K 端与低位74LS191N 的使能端EN (电路图中CTEN 端)相接,则K 端在计数使能时为低电平,暂停或计数到00时为高电平,由JK 触发器功能表如下:

图8

如图9,将74LS48N 译码器的BI/RBO 与该JK 触发器的输出端相连,由图8可知当J=1,K=0时,BI/RBO 置1,数码管正常工作;当J=1,K=1时,BI/RBO 翻转,使数码管时亮时灭闪烁。

图9

J

K

输出

1

置1

1

1

翻转

经过以上功能分析、设计和仿真,30s计数器的各项功能得到实现,在清零/复位与暂停/继续控制电路中,当J1闭合,暂停计数时,发光二极管点亮,J1断开,继续计数时,发光二极管灭;当J4闭合,数码管清零,发光二极管点亮,当J4断开后,发光二极管灭。

三、功能说明总结

实现从29到00的30秒倒计时计数功能,时间间隔为1s,具有启动,清零/复位与暂停/继续计数功能;同时当清零与暂停时,清零/复位或暂停/继续计数功能电路中的发光二极管点亮,作为功能标识;暂停时,数码管显示闪烁提醒,倒计时到00时计数保持00,并且闪烁报警,提示计数结束。

J1为单刀单掷开关,是暂停/继续计数功能控制开关,闭合J1,开关,计数暂停,断开J1开关,计数继续;J3为自动复位开关,时计数启动开关,当清零/复位开关断开复位后,按下J3启动计数;J4为单刀单掷开关,是清零/复位功能控制开关,闭合J3开关,数码管清零保持,再断开J3开关,复位29,等待启动开关J3启动。

四、课程设计小结

课程设计过程中对学到的各种芯片的功能,作用有了更加深入的学习,尤其是通过Multisim的设计与仿真,Multisim之前没有接触过,这几天学习了其基本功能和仿真实验。在设计30秒倒计时计数器时,用到了74LS191N加/减计数器,共阴极数码管,74LS48N译码器,555定时器组成多谐振荡器电路,JK触发器和D触发器等元器件,对这些元器件的特性,功能有了进一步深入的了解。通过555定时器构成多谐振荡电路的仿真对其电路结构有了更深刻的印象,掌握了通过改变RC的值对振荡周期进行调整。

当然在设计各各功能的过程中也遇到了许多问题,如最初使用74LS191N加/减计数器的时候对其反馈和异步置数功能不是很清楚,在查阅书本和实践多次的基础上终于解决异步置数问题,又如在设计反馈控制电路的过程中,时选用JK触发器还是D触发器,最初的设想是用的JK触发器,但是实验多次后才觉得如果用D触发器会更好,最终用D触发器实现清零/复位控制电路和计数器反馈电路;另一个问题是倒计时计数到00时的保持与闪烁问题,当计数到00时,74LS191N的MAX/MIN端输出将从低电平变为高电平,试了不少方法,有些无法保持00状态,有些在00状态无法闪烁,最终通过反馈两个74LS191N的MAX/MIN端与非两次后的输控制D触发器U21的SET端控制低位74LS191N的使能端CTEN端(即EN端)实现保持00计数状态,并利用JK触发器的翻转和置1功能特性控制

74LS48译码器的BI/RBO端输入实现闪烁功能。每遇到一个问题都时进一步学习和加深对电路,原理,元器件学习的过程,每解决一个问题,没实现一个功能,都会十分的兴奋,总之,课程设计是一个提高能力,实践所学知识的过程,当然,还有许多的元器件,电路原理等有待于进一步的学习,对Multisim的学习也是一个开始,希望今后也能进一步学习到更多这一功能强大仿真软件的功能。

参考文献:艾永乐付子义《数字电子计数基础》2008 [北京] 中国电力出版社;

邱关源罗先觉《电路》第五版2011 [北京] 高等教育出版社;

《Multisim原件介绍》百度文库;

附录:

一、电路原理图

二、元器件明细表

555定时器1个

七段共阴极红色数码管2个

74LS48N译码器2个

74LS191N加/减计数器2个

74LS00(四-2输入与非门)2个(一共需要7个2输入与非门)74LS02(四-2输入或非门)1个(共需要2个或非门)

D触发器3个

JK触发器2个

电容2个(C1 1uF,C2 10nF)

开关3个(J1、J4为单刀单掷开关,J3为自动复位开关)

电阻18个(与数码管串电阻100欧姆14个,与二极管串接电阻1000欧姆2个,多谐振荡电路电阻5100欧姆2个)

发光二极管2个(1.66V 5mA)

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

倒计时秒表课程设计

倒计时秒表课程设计

目录 一.设计目的 (1) 二.设计要求 (1) 三.总体设计 (1) 设计方案 (1) 硬件电路设计 (1) 1)C P U部分 (1) 2)晶振电路部分 (2) 3)L C D显示 (3) 4)键盘及蜂鸣器部分 (3) 软件程序设计 (4) 四.方案实施 (6) 单片机简介 (6) 4.2动态L C D液晶显示器显示 (6) 4.3 软件调试及调试方法 (8) 五.课程设计总结 (10) 六.参考文献 (10) 七.附件 (11) 源程序 (12) 总体电路图 (22)

一.设计目的 1熟悉整个项目的流程即单片机系统设计过程 2 学会使用各种仿真软件 3熟练的使用汇编语言编写小的应用程序 4 掌握系统的调试与安装 5提高学生的自学能力和动手能力 二.设计要求 1)可以实现正常秒表的所有功能,包括启动,暂停,复位等 2)可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时(10s,20s,30s....) 3)显示方式自选 4)任选一款51单片机 5)扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等 三.总体设计 设计方案 1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,LCD液晶显示器如何显示数字0—9;二,如何用单片机来控制LCD的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解LCD的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。 2)主要任务:软件的调试和烧录 硬件电路设计 1)CPU部分 口是“调模式”num 10,num20,num30,num50,num100 口是“开始”倒计时端口 口是“关闭”(返回)轰鸣器口,在定时可以返回到模式状态。 口是给轰鸣器送触发信号口 口是“暂停”口

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

倒计时器课程设计(带程序版)

湖南科技大学 课程设计报告课程名称:单片机原理及应用课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409218 08409224 起止日期:2010年12月20日~ 2010年12月31日指导教师: 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩 评 定 项目 权 重 成绩 1、设计过程中出勤、学习态度等方面0.2 2、课程设计质量与答辩0.5 3、设计报告书写及图纸规范程度0.3 总成绩 教研室审核意见: 教研室主任签字:年月日 教学系审核意见: 主任签字:年月日

基于STC89C52的倒计时器设计 1 设计任务与要求 本项目是由单片机执行设定的程序,在数码管显示倒计时的时间,且能由单片机接受矩阵键盘设定的时间。由4位数码管做显示,能够实现5种倒计时模式,通过控制按键进行选择9999s-0s、999s-0s、99s-0s、9s-0s、开始值由人工输入-0s。 2 方案设计与论证 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。现在,在许多领域中,定时器得到了广泛的应用,比如在体育比赛中的计时器;安全措施中的定时报警器;游戏中的倒计时;维持秩序的交通信号灯;红路灯,交通控制器,闹钟等等。可见倒计时器在社会中的重要性。当然,设计倒计时器的方法很多,以下是两个设计方案。 方案一:基于AT89S52单片机的LCD液晶显示模块1602显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,用按键来进行倒计时初值的选择,LCD1602液晶作为显示模块来显示倒计时间。 方案二:基于AT89S52单片机的数码管显示模块显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,按键来进行倒计时初值的选择。此电路对于倒计时器中的LED数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 方案比较:通过以上两个方案,我们发现,方案二总体比方案一好。首先方案一虽然硬件电路简单,但造价较高,且在编写程序实现所要求的功能时较难,而方案二所用的显示模块是比较熟悉的数码管,编写程序是相对容易,且电路造价不高,因此,综合考虑之后决定采用方案二。

课程设计30秒倒计时1

目录 前言 (1) 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 二课程设计总结...... 三参考文献...... 附录

电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。 (4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、一片74LS00,两片74LS48,一片555、一片74LS04、CC40161,共阴LED显示器2块,电阻、蜂鸣器、发光二极管等

99倒计时课程设计讲解 实用

1苏—________________________________________________________________________ 1 2整体设计方案 (2) 3各单元的介绍 (3) 3.1最小应用系统 (3) 3.1.1 AT89C51 的介绍 (3) 3.1.2时钟电路的介绍 (5) 3.1.3复位电路 (7) 3.2锁存器74LS273的介绍 (8) 3.3数码管显示介绍 (9) 4 99倒计时主电路图 (10) 5程序流程图 (12) 6 99倒计时软件程序设计 (13) 7总拮 (15) 8说辞 (16)

9参考文献 (17)

1引言 目前单片机的应用越来越广泛,实际上,单片机得几乎在人类生活的各个领域都表现出强大的什么生命力,使计算机的应用范围达到了前所未有的广度和深度。单片机的出现尤其对电路工作者产生了观念上的冲击。在过去经常采用模拟电路、数字电路诗实现的电路系统,现在相当大一部分可以用单片机予以实现,传统的电路设计方法已演变成软件和硬件相结合的设计方,而且许多电路设计问题将转化为纯粹的程序设计问题。 INTEL公司从其生产单片机开始发展到现在,大体上可分为3大系列:MCST8 系列、M C S~51系列和M C S_96系列。M C S~51系列是8为高档单片机系列,也是我国目前应用最为广泛的一种单片机系列。单片机是把CPU、内存储器和某些W接口 电路集成在一块大规模芯片上的微型计算机。单片机的优点很多,具有体积小,成本低,抗干扰能力强,面向控制,可以实现分机各分布控制等。在进行99倒计时的课程设计中就是利用单片机的上述优点,采用的是AT89C51型号的单片机。 99秒倒计时器主要是用在精确时间上。它是通过一个按键来控制它的开和停,在控制过程中有一个暂停开关和一个复位按钮,它能及时有效的记录瞬间时间,它在我们的生活中的应用很广泛。

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

单片机课程设计倒计时秒表

此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。掌握单片机应用系统的软硬件设计过程、方法及实现,强化单片机应用电路的设计与分析能力。提高学生在单片机应用方面的实践技能和科学作风;培育学生综合运用理论知识解决问题的能力,实现理论结合实际,学以至用的原则。用所学的知识和自身课外的拓展学习加深对专业课的理解和学习;锻炼综合运用电路设计及相关电子仪器、单片机软硬件结合的理论,结合生产实际分析和解决工作工程实际问题的能力,加固、加深和扩展有关电子类,汇编语言,相关电子电路和仿真软件方面的知识和能力。通过本次课程设计,应加强培养如下能力: (1)加强自身独立的动手能力和思考解决问题的能力,提高创造能力; (2)学会使用软件Proteus画原理图和仿真调试。 (3)学会基本焊接电路板的技能 通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。 二、设计要求 } 1、可以以实现正常秒表的所有功能,包括启动、暂停、复位等; 2、可以自由设定倒计时时间(10s、20s、30s···),并进行倒计时; 3、显示方式自选; 4、任选一款51单片机; 5、扩展功能:在秒表的基础上增加时钟功能,倒计时完成时加入报警单元,如声音、灯光等。

单片机30秒倒计时

天津工业大学 电子CAD课程设计 报告书 三、总体方案 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现30秒倒计时程序的编写,包括利用中断实现1秒的定时及30秒的倒计时。 具体设计:通过AT89C51型号单片机,由P0 I/O引脚分别控制7SEG–MPX2–CA型号数码管,通过单片机的p2.0和p2.1控制选通数码管控制十位和个位,达到显示30秒倒计时的目的。。30秒倒计时,到0时P1.0 1KHZ 声音报警,P1.1 LED 2 秒闪烁一次。4 秒后声光停

图1 30秒倒计时总体电路设计 3.3.1硬件设计方法 AT89C51的芯片概述 AT89C51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。其工作电压在4.5-5V,一般我们选用+5V电压。外形及引脚排列如图2所示: AT89C51主要特性

图2:AT89C51的核心电路框图 LED数码管显示器概述 本设计中采用的是7SEG–MPX2 –CA型号7段共阳数码管,它是一种半导体发光器件,其基本单元是发光二极管。实物如图3所示: 图3 7SEG–MPX2–CA型号数码管 图5 程序框图

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

数电课程设计-30秒倒计时器

30秒倒计时计数器设计 ——数字电子计数基础课程设计 学院:计算机学院 专业班级:通信工程10-2班 时间:2013年1月7日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录: 一、电路原理图 .................................................................................11 二、元器件明细表 (11) 设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能; 2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3、计时器计时间隔为1秒; 4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5、计时器暂停计数时,数码管闪烁提醒; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

电子课程设计报告-篮球比赛倒计时器

课程设计报告 课程名称:电子技术课程设计 设计题目:篮球比赛倒计时器 专业:自动化 班级: 1班学号: 学生: 时间: 2012 年 2 月 20 日~ 2 月26 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论 知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为 今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯等。 本课程设计“篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 本设计主要能完成:电路具有24.0秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为0.1秒;当计时器递减计时到零时,显示器显示0,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,并在Proteus下设计和进行仿真,得到了预期的结果。

目录 第1章绪论 (1) 1.1课程设计意义 (1) 1.2 设计任务及要求 (1) 1.2.1 设计任务 (1) 1.2.2 基本要求及目标 (1) 第2章电路框图及工作原理 (1) 2.1 设计方案 (1) 2.2 电路框图 (2) 第3章单元电路的设计 (2) 3.1 24进制计数器的设计 (2) 3.2 数码显示电路的设计 (4) 3.3 0.1秒脉冲的设计 (5) 3.4 报警电路的设计 (7) 3.5 整机工作原理 (7) 第4章电路仿真 (8) 心得体会 (10) 参考文献 (11)

30秒倒数计时器设计

一.实验目的 理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。时钟脉冲采用555定时器构成多谐振荡电路产生,通过EDA软件Multisim10绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。 二.系统原理框图 图1系统原理框图 一.1秒脉冲发生器:秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。 不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。一般情况下,要做出一个精度比较高的频率很低的振荡器有一定的难度工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分级项数。 2.30秒减法计数器: 30秒减法计数器采用74LS192设计,74LS192是十进制同步加法|减法计数器,采用8421BCD码编码,具有直接清零异步置数功能。

3.控制电路按照系统的要求,电路应该完成以下4个功能; 1)当操作直接清零按键时,要求计数器清零。 2)当启动按键闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,显示器显示30秒字样。当启动按键释放时,计数器开始减法计数。 3)当暂停连续开关处于暂停状态时,控制电路封锁计数脉冲,计数器停止计数,显示器显示原来的数,而且保持不变,当暂停连续开关处于连续状态时,计数器正常计数,另外,外部操作开关都应该采取消抖措施,以防止机械抖动造成电路工作不稳定。 4)当计数器递减到零时,控制电路输出报警信号,计数器保持状态不变。 三.方案设计与论证 3.1时间脉冲产生电路 方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。 方案二:由14位二进制串行计数器/分频器和振荡器CD4060、BCD同步加法计数器CD4518构成的秒信号发生器。

智能倒计时器课程设计

毕业设计(论文) (2010届) 题目智能倒计时器的设计 指导教师 院系机械电子与建筑工程学院 智能倒计时器的设计 院系:机械电子与建筑工程学院班级:指导老师:姓名: 4.1 硬件设计 本电路设计以AT89S51单片机为系统核心,通过对AT89S51的编程加上一些外围电路来完成所要求的所有功能,电路的原理框图如图1所示。该图包括单片机时钟电路、单片机复位电路、控制电路、显示电路、报警电路和单片机等6个部分。

图1 基于单片机的设计参考方案框图 4.1.1主要单元电路设计 1.AT89S51单片机电路设计 AT89系列单片机是美国ATMEL公司继承INTEL公司80C31的核心技术并和自身先进的闪电存储器(FLASH MEMORY)技术相结合而产生的FLASH单片机系列。它是一种低功耗、高性能、内含4K/8K字节闪电存储器、用CHMOS工艺制作的8位单片机。 AT89S51是ATMEL公司的产品,它具有8位CPU,4个I/O口,32根I/O口线,两个16位的定时器/计数器,五个中断源,两个优先级等特点。 1.主要特性 与MCS-51 兼容 4K字节可编程闪烁存储器 寿命:1000写/擦循环 数据保留时间:10年

全静态工作:0Hz-24Hz 三级程序存储器锁定 128*8位内部RAM 32可编程I/O线 两个16位定时器/计数器,5个中断源 可编程串行通道 低功耗的闲置和掉电模式 片内振荡器和时钟电路 2.管脚说明 VCC:供电电压。 GND:接地。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 AT89S51单片机外围电路设计 (1)单片机振荡电路 单片机振荡电路的作用是产生单片机工作所需要的时钟信号,单片机本身就是一个复杂的同步时序电路,为了保护同步工作方式的实现,电路应在唯一的时钟信号控制下严格地按

999倒计时计时器-课程设计

倒计时计时器 倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。 一、设计要求 用三个可预置数的减计数器组成三个二-十进制减计数器。用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。 二、设计任务 1.完成全电路的理论设计 2.参数的计算和有关器件的选择(秒计时,译码显示) 3.对电路进行仿真 ! 4.撰写实验报告书一份;A3图纸至少一张。报告书要求写 以下组要内容 (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (2)仿真的过程的实现

目录 一、设计任务和要求 ^ (3) 二、设计的方案的选择与论证 (4) 三、【 四、电路设计计算与分析 (5) (1).系统设计框图 (5) (2).时钟脉冲发生器 (5) (3).计数器电路 (7) (4).译码器和显示管 (9) 五、总结及心得 (11) 六、附录 (13) 七、参考文献 (14) ~

【 一、设计任务和要求 说明:倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。 (1).用三个可预置数的减计数器组成三个二-十进制减计数器。 (2).用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。 (1).完成全电路的理论设计 (2).参数的计算和有关器件的选择(秒计时,译码显示) (3).对电路进行仿真 。 (4).撰写实验报告书一份;A3图纸至少一张。报告书要求 写以下组要内容 (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (2)仿真的过程的实现

微机原理与接口技术课程设计-倒计时

微机原理与接口技术课程设计报告 题目:倒计时 学院:西安理工大学专业:机械实际制造及其自动化班级机械四班学号: 学生姓名刘小虎指导教师 课程成绩完成日期 2014年12月15日 目录

一.设计功能............. 错误!未定义书签。二.设计原理及原理图..... 错误!未定义书签。 1. 系统总设计原理....... 错误!未定义书签。 2. 硬件框架原理图....... 错误!未定义书签。 3. 硬件介绍............. 错误!未定义书签。 4. 功能电路............. 错误!未定义书签。三.程序模块及流程图..... 错误!未定义书签。 1. 8255A初始化 ......... 错误!未定义书签。 2. 显示程序模块......... 错误!未定义书签。 3. 延时程序模块......... 错误!未定义书签。 4. 控制程序模块......... 错误!未定义书签。 5. LED灯点亮程序模块 ... 错误!未定义书签。四.源程序............... 错误!未定义书签。五.总结................. 错误!未定义书签。附录1:系统硬件框架...... 错误!未定义书签。附录2:系统总程序流程图.. 错误!未定义书签。 题目:倒计时

一.设计功能 本次课程设计我们在TD-PIT+实验系统和PC机平台上利用并行接口8255A、键盘及数码管显示单元、开关及LED显示单元、键盘按键和电脑显示屏设计成一个倒计时器。具体功能包括: 1.用2位8段共阴数码管显示倒计时时间; 2.使用开关K0实现暂停/启动功能,开关K1实现倒计时复位功能; 3.倒计时为0时8盏LED灯实现跑马灯功能; 4.利用数字键输入倒计时初始数值,按键“r”实现重新启动倒计时器, 按键“e”实现退出程序进入DOS环境下,并对其他按键进行屏蔽; 5.屏幕显示相应的提示信息,包括提示用户输入数据、倒计时结束、倒计 时结束后用户进行的操作; 6.可以任意设定倒计时的初始时间,每隔1秒钟计时器减1,直到为0; 7.在倒计时过程中可通过开关K1实现退出当前的倒计时并开始新一轮的 倒计时。 二.设计原理及原理图 1.系统总设计原理 我们选择并行接口8255A芯片,在程序中使用延时语句来输出秒脉冲,并在其中调用显示函数不断刷新数码管进行数值显示。使用8255A作为输入/输出接口,其中A端口为输出口,连接LED显示单元的D8~D15;B端口为输出口,连接数码管的A~Dp,进行数码管的段锁存;C端口高4位为输出口,连接数码管的X4~X1,进行位锁存,低4位为输入端,连接开关的K3~K0。采用在软件中控制循环程序的方式来控制倒计时的复位和暂停功能,并通过程序来显示语句和读入用户的键盘输入,以进行相应操作。此方案性价比高,但由于使用了软件延时,当芯片损耗以及芯片在工作时间过长后会出现倒计时不够准确。 2.硬件框架原理图 图1 系统设计硬件框架

51单片机定时器数码管30秒倒计时(三个按键控制开始暂停复位)

51单片机定时器数码管30秒倒计时(带三个按键控制开始,暂停,复位) 程序: #include "" unsigned char code led[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F}; unsigned char code addr[2]={0xef,0xdf}; unsigned char xx[2]; unsigned char time=30; unsigned char flag=0; void delay(void); void sys(void) { TMOD=0x01; TH0=(65536 - 50000) / 256; TL0=(65536 - 50000) % 256; ET0=1; TR0=1; EA=1; EX0=1; IT0=1; } void ftimer0(void) interrupt 1 { static unsigned char cnt; TH0=(65536-50000)/256;

TL0=(65536-50000)%256; cnt++; if(cnt>20) { if(flag==1) { time--; cnt=0; if(time==0) { ET0=0; TR0=0; } } } } void int0(void) interrupt 0 { if(P2_0==0) { flag=1; ET0=1; } else if(P2_1==0) { ET0=0; }

else if(P2_2==0) { time=30; ET0=0; TR0=1; } } void main() { unsigned char a; sys(); for(;;) { for(a=0;a<2;a++) { xx[0]=time/10; xx[1]=time%10; P0=led[xx[a]]; P1=addr[a]; delay(); P1=0xff; } } } void delay(void)

相关主题