搜档网
当前位置:搜档网 › FPGA、CPLD、ASIC、DSP、单片机的区别

FPGA、CPLD、ASIC、DSP、单片机的区别

FPGA、CPLD、ASIC、DSP、单片机的区别
FPGA、CPLD、ASIC、DSP、单片机的区别

1. FPGA

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA 上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。

什么是FPGA?

简单来说,FPGA就是“可反复编程的逻辑器件”。FPGA取自

Field Programmable Gate Array的首个字母,代表现场(Field)可编程(Programmable)逻辑阵列(Gate Array)。

由于在产品发售后您仍然可以对产品设计作出修改,因此我们可以顺利地对产品进行更新以及针对新的协议标准作出相应改进。相对于对售后产品设计无法进行修改的ASIC和ASSP来说,这是FPGA特有的一个优势。由于FPGA 可编程的灵活性以及近年来科技的快速发展,FPGA也正向高集成,高性能,低功耗,低价格的方向发展,并具备了与ASIC和ASSP 同等的性能,被广泛地使用在各行各业的电子及通信设备里。

FPGA与CPLD的区别

尽管很多人听说过CPLD,但是关于CPLD与FPGA之间的区别,了解的人可能不是很多。虽然FPGA与CPLD都是“可反复编程的逻辑器件”,但是在技术上却有一些差异。简单地说,FPGA就是将CPLD的电路规模,功能,性能等方面强化之后的产物。

一般而言,CPLD与FPGA之间的区别的如下所示(当然也有例外)。

FPGA的应用

那么在哪些产品中可以采用FPGA呢?

如下图所示,FPGA被广泛地使用在通讯基站、大型路由器等高端网络设备,以及显示器(电视)、投影仪等日常家用电器里。

FPGA已经从最早的只应用于辅助功能以及胶合逻辑(连接各种功能块以及集成电路的逻辑电路)的简单器件,发展到现今众多产品的核心器件。

FPGA的优势:

FPGA最大的优势特点就是能够缩短开发所需时间。换句话说,通过使用FPGA,设计人员可以有效地利用每一分钟进行开发。例如,在开发过程中使用FPGA与否,可以导致开发时间上1/2 ~1/3的差别。这使得FPGA成为实现“少量多品种”以及“产品周期短”市场不可缺少的器件之一。此外,我们将列举出FPGA其他的优势特点。

设计流程

FPGA的设计流程可分为几个主要步骤,因此您可能会问“难道每个步骤都要学习不同的设计软件吗?”,答案是不需要。FPGA所有的项目开发都能够在同一个FPGA设计软件中完成。并且设计软件还具备许多便利的功能,自动执行设计过程中所需要的设定。

注:FIFO(First Input First Output),先入先出队列

原理:FIFO队列不对报文进行分类,当报文进入接口的速度大于接口能发送的速度时,FIFO按报文到达接口的先后顺序让报文进入队列,同时,FIFO在队列的出口让报文按进队的顺序出队,先进的报文将先出队,后进的报文将后出队。

FIFO一般用于不同时钟域之间的数据传输,比如FIFO的一端是AD数据采集,另一端

是计算机的PCI总线,假设其AD采集的速率为16位 100K SPS,那么每秒的数据量为100K ×16bit=1.6Mbps,而PCI总线的速度为33MHz,总线宽度32bit,其最大传输速率为1056Mbps,在两个不同的时钟域间就可以采用FIFO来作为数据缓冲。另外对于不同宽度的数据接口也可以用FIFO,例如单片机为8位数据输出,而DSP可能是16位数据输入,在单片机与DSP 连接时就可以使用FIFO来达到数据匹配的目的。

重要参数:

FIFO的宽度(THE WIDTH),指FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM32位等等,FIFO的宽度在单片成品IC中是固定的,也有可选择的,如果用FPGA自己实现一个FIFO,其数据位,也就是宽度是可以自己定义的。

FIFO的深度(THE DEEPTH),指FIFO可以存储多少个N位的数据(若宽度为N)。如一个

8位的FIFO,若深度为8,它可以存储8个8位的数据,深度为12 ,就可以存储12个8

位的数据,FIFO的深度可大可小,FIFO深度的计算并无一个固定的公式。在FIFO实际工作中,其数据的满/空标志可以控制数据的继续写入或读出。在一个具体的应用中不可能由一些参数精确算出所需的FIFO深度为多少,这在写速度大于读速度的理想状态下是可行的,但在实际中用到的FIFO深度往往要大于计算值。一般来说根据电路的具体情况,在兼顾系统性能和FIFO成本的情况下估算一个大概的宽度和深度。而对于写速度慢于读速度的应用,FIFO的深度要根据读出的数据结构和读出数据由那些具体的要求来确定。

满标志:FIFO已满或将要满时由FIFO的状态电路送出的一个信号,以阻止FIFO的写操作继续向FIFO中写数据而造成溢出(overflow)。

空标志:FIFO已空或将要空时由FIFO的状态电路送出的一个信号,以阻止FIFO的读操作继续从FIFO中读出数据而造成无效数据的读出(underflow)。

读时钟:读操作所遵循的时钟,在每个时钟沿来临时读数据。

写时钟:写操作所遵循的时钟,在每个时钟沿来临时写数据。

读指针:指向下一个读出地址。读完后自动加1。

写指针:指向下一个要写入的地址的,写完自动加1。

读写指针其实就是读写的地址,只不过这个地址不能任意选择,而是连续的。

FIFO分类:根据FIFO工作的时钟域,可以将FIFO分为同步FIFO和异步FIFO。同步FIFO 是指读时钟和写时钟为同一个时钟,在时钟沿来临时同时发生读写操作。异步FIFO是指读写时钟不一致,读写时钟是互相独立的。

FIFO设计难点:产生可靠的FIFO读写指针和生成FIFO“空”/“满”状态标志。FIFO设计的难点在于怎样判断FIFO的空/满状态。为了保证数据正确的写入或读出,而不发生溢出或读空的状态出现,必须保证FIFO在满的情况下,不能进行写操作,在空的状态下不能进行读操作。怎样判断FIFO的满/空就成了FIFO设计的核心问题。由于同步FIFO几乎很少用到,这里只描述异步FIFO的空/满标志产生问题。

2. CPLD

CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件。

3. ASIC

ASIC(Application Specific Integrated Circuit),专用集成电路,在集成电路界被认为是一种为专门目的而设计的集成电路。

4. DSP

DSP(digital singnal processor)是一种独特的微处理器,是以数字信号来处理大量信息的器件。DSP芯片的内部采用程序和数据分开的哈佛结构,具有专门的硬件乘法器,广泛采用流水线操作,提供特殊的DSP指令,可以用来快速的实现各种数字信号处理算法。其工作原理是接收模拟信号,转换为0或1的数字信号,再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。

5. 单片机(MCU)

FPGA_ASIC-基于FPGA的ECC算法高速实现

基于FPGA 的ECC 算法高速实现? 武玉华,黄允,李艳俊,欧海文 (北京电子科技学院,北京 100070) 摘要:椭圆曲线密码体制(Elliptic Curve Cryptosystem ,ECC)是目前已知的所有公钥密码体制中能提供最高比特强度(strength-per-bit )的一种公钥加密体制。研究椭圆曲线密码算法的芯片设计有较大的研究价值和实用价值。本文在深入研究椭圆曲线加解密理论基础上,使用Verilog 硬件描述语言实现了一种ECC 加密算法,具有高速低功耗的特点。 关键词:ECC ;FPGA ;高速 中图分类号:TP309 文献标识码:A The FPGA design of ECC encryption algorithm WU Yu-hua, HUANG Yun, LI Yan-jun, OU Hai-wen (Beijing Electronic Science and Technology Institute ,Beijing 100070 China) Abstract :ECC is one of the known public crypto methods that provide the best strength-per-bit. Researching in the hardware design of ECC have much value. In this paper, we lucubrate the ECC’s theory, and implement a sort of ECC encryption algorithm, it has some advantages such as high-speed and low-exploit. Keywords :ECC; FPGA; high-speed 1 引言 1985年,Neal Koblitz 和V https://www.sodocs.net/doc/4d7072260.html,ler 提出了基于椭圆曲线群上离散代数问题的公钥密码体制——椭圆曲线密码体制(简记为ECC)。ECC 与RSA 相比具有密钥更短、安全性更高的特点,通常认为163位的ECC 密钥长度能够提供相当于1024位RSA 密钥长度的安全性,571位的ECC 密钥长度能够提供相当于15360位RSA 密钥长度的安全性。ECC 是目前所有公钥密码系统中单位密钥安全性最高的密码系统。因为ECC 的密钥较短,所以运算耗费的资源较少,目前ECC 广泛应用于无线连接设备中,譬如PDA, smart cards 等等。目前,欧洲、俄罗斯、韩国和中国等都己经或打算将ECC 作为国家密码标准。 椭圆曲线密码系统的基域包括素域GF (P)和二进制域GF(n 2),在硬件实现上GF(n 2)椭圆曲线密码系统占用系统资源更少,效率更高。因此最近几年有限域GF(n 2)上基本运算的硬件实现、有限域GF(n 2)上椭圆曲线密码系统的硬件实现都得到了业内重视。相对于软件实现的椭圆曲线加密/解密体制,硬件实现可以提供更高的安全性和更快的速度。本文在深入研究椭圆曲线加解密理论基础上,使用Verilog 硬件描述语言实现了一种ECC 加密算法,并通过QuartusII5.0工具进行了编译仿真,实验结果表明其功能正确,具有高速低功耗的特点。 2 椭圆曲线算法理论 2.1 椭圆曲线数学基础 2.1.1 椭圆曲线定义 椭圆曲线E 是一个光滑的Weierstrass 方程在P(K)中的全部解(x ,y)的集合。K 为域。K 上的摄影平面P(K)是一些等价类的集合{(XY :Z)}。 22322313246:E Y Z a XYZ a YZ X a X Z a XZ a Z ++=+++ 其中曲线上唯一的一个无穷远点是(0:1:0)。这个点对应于点∞。 经过上述方程作如下转化可得: 设x =X/Z,y =Y/Z ?基金项目:国家自然科学基金资助项目(70431002);北京电子科技学院信息安全与保密重点实验室基金项目(YZDJ0509)

FPGA与CPLD

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 CPLD与FPGA的关系 早在1980年代中期,FPGA已经在PLD设备中扎根。CPLD和FPGA包括了一些相对大数量的可以编辑逻辑单元。CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。 CPLD和FPGA的主要区别是他们的系统结构。CPLD是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些相对少量的锁定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而FPGA却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。 CPLD和FPGA另外一个区别是大多数的FPGA含有高层次的内置模块(比如加法器和乘法器)和内置的记忆体。一个因此有关的重要区别是很多新的FPGA支持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些FPGA可以让设备的一部分重新编辑而 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点 1)采用FPGA设计ASIC电路(特定用途集成电路),用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完

FPGA CPLD ASIC学习书籍集锦

FPGA|CPLD|ASIC学习书籍集锦 《FPGA设计及应用(第二版)》评价:★★★ 作者:褚振勇齐亮田红心高楷娟西安电子科技大学出版社出版日期: 2006年12月第 2 版书号:ISBN 7-5606-1132-X/TP·0574 本书介绍了FPGA的相关基础知识, VHDL硬件描述语言,FPGA开发软件的使用;器件配置与调试;FPGA设计中的基本问题和电路设计实例等。 《CPLD/FPGA应用系统设计与(基础篇)》评价:暂无 作者:亿特科技人民邮电出版社出版日期:2005年7月书号:ISBN 7-115-13200-3/TP.4503 本书介绍了CPLD/FPGA开发工具Quartus II,并精选了10多个实际开发案例进行讲解:16位并行乘法器设计、通用16位乘法器的流水线设计、双端口RAM存储器的设计、同步/异步FIFO存储器的设计、海明码编解码器芯片的设计、RS编解码器芯片设计及其扩展应用、带PWM输出的定时器/计数器芯片设计及其扩展应用、通用存储控制器芯片的设计以及USB2.0接口芯片设计。 《FPGA数字电子系统设计与开发实例导航》评价:暂无 作者:求是科技人民邮电出版社出版日期:2005年6月书号:ISBN 7-115-13189-9/TP.4519 本书首先介绍了FPGA的相关基础知识,然后通过7个在实际工程应用中的案例详细介绍了通过FPGA 实现I2C协议要求的接口、UART控制器、USB接口控制器、数字视频信号处理器、VGA/LCD显示控制器、CAN总线控制器、以太网控制器的方法。

《Altera FPGA/CPLD 设计( 高级篇)》评价: 暂无 作者: EDA 先锋工作室 人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13499-5/TP.4707 本书深讨论了Altera FPGA/CPLD 的设计、优化技巧。在讨论FPGA/CPLD 设计指导原则的基础上,介绍了Altera 器件的高级应用;引领读者学习逻辑锁定设计工具,详细讨论了时序约束与静态时序分析方法;结合实例讨论如何进行设计优化,介绍了Altera 的可编程器件的高级设计工具与系统级设计技巧。 ! 《Altera FPGA/CPLD 设计 (基础篇)》评价: 暂无 作者: EDA 先锋工作室 人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13499-5/TP.4707 本书介绍了FPGA/CPLD 的基本设计方法。在介绍FPGA/CPLD 概念的基础上,介绍了Altera 主流FPGA/CPLD 的结构与特点,实例讲解Quartus II 与ModelSim 、Synplify Pro 等常用EDA 工具的开发流程。 《Verilog HDL 程序设计与应用》评价: 暂无 作者:王伟人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13204-6/TP.4534 本书第1部分讲解Verilog HDL 语法知识;第2部分是实例部分,通过从已公布的成熟源代码中精选的50多个最具代表性的建模实例,辅以框图和详细注释帮助读者理解程序,从而学习典型电路单元的建模方法;第3部分是系统设计实战,为初学者展示了一个小型系统的详细设计流程。 《CPLD/FPGA 应用开发技术与工程实践》评价: 暂无 作者: 求是科技 人民邮电出版社 出版日期:2005年1月 书号:ISBN 7-115-12720-4/TP.4272 本书介绍了CPLD 和FPGA 典型产品的结构原理、性能特点以及Altera 公司提供的开发软件-MAX+PLUSII ,列举了VHDL 语言的各种语法结构以及相应的例程。包括组合逻辑电路设计、时序逻辑电路设计、运算电路设计以及存储器电路设计等。最后用4个有一定难度的例程向读者完整地再

(完整版)什么是FPGA

1. FPGA与单片机的区别? 单片机和FPGA的区别,本质是软件和硬件的区别。 单片机设计属软件范畴;它的硬件(单片机芯片)是固定的,通过软件编程语言描述软件指令在硬件芯片上的执行; FPGA设计属硬件范畴,它的硬件(FPGA)是可编程的,是一个通过硬件描述语言在FPGA芯片上自定义集成电路的过程; 二者最大的区别:单片机(无论哈佛总线结构或者冯诺依曼结构)均为取出指令->执行,指令是顺序执行的(即使是中断,其发生后的中断服务程序也是顺序执行的);而FPGA(包括CPLD)是基于逻辑门和触发器的,它是并行执行方式,即 当CLOCK上升沿到来时,所有的触发器都会动作,它没有取出指令->执行这种操 作,数字电路中所有逻辑门和触发器(D,SR等)均可以实现,它适合真正意义上 的并行任务处理。 2. 单片机、FPGA、DSP、ASIC的区别 1、ASIC原本就是专门为某一项功能开发的专用集成芯片,集成度很低,成本很低,可是够用了。后来ASIC发展了一些,称为半定制专用集成电路,相对来说更接近FPGA,甚至在某些地方,ASIC就是个大概念,FPGA属于ASIC之下的一部分。 2、FPGA基本就是高端的CPLD,数字电路。这种器件是用逻辑门来表述性能的。本身他就是一堆的逻辑门,与非门、或非门、触发器(可以用与非门形成吧)等基本数字器件,编程决定了有多少器件被使用以及它们之间的连接。通过硬件描述语言把它转成电路连接,从最基本的逻辑门层面上连接成电路(参见数字电路书上那些全加器触发器什么的)。应该说,虽然看起来像一块CPU,其实是完全硬件实现的。它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA在抗干扰,速度上有很大优势。 后来因为写代码麻烦,对控制部分比较薄弱,本来跟其他CPU配合使用,即麻烦的算法CPU提交给FPGA,FPGA算完把结果再返回给CPU。可是这样外围电路就变得麻烦。 于是提出了SOC设计方法,就是直接在FPGA里写一个CPU出来,既然FPGA万能,做个CPU自然毫无压力。 这其中还有软核和硬核的区别,不过除了性能,使用方法大同小异。所谓IP核,就是把各种专用集成电路用硬件描述语言描述,然后烧到FPGA里形成专门的电路,这样就不必另外搭芯片了,所有的电路在一片FPGA里面形成。 3、DSP实际应该称为DSPs,即用于DSP处理的专用芯片。跟普通计算机的区别一方面是他是哈佛结构的,也就是数据和程序空间分开(普通计算机是冯诺依曼结构)。另一方面他有流水线结构,不过现在其他也有了,见贤思齐。再一方面他有专用的硬件算法电路,用以完成DSP运算,比如最基本的乘法累加。上过DSP的就知道,蝶形算法FFT什么的,拆成最基本单元就是乘法累加,把这部分加速了,整体性能就有非常大的提高。DSP对于

CPLD与FPGA区别

CPLD和FPGA区别 可编程逻辑器件主要包括FPGA和CPLD,FPGA是Field Programmable Gate Array缩写,CPLD是Complex Promrammable Logic Device的缩写。 从可编程逻辑器件的发展历史上来讲,CPLD一般是指采用乘积相结构的基于EEPROM的器件,所以具 有非挥发的,不需要外部配置ROM,具有保密性和有限次编程次数(根据不同的结构,从100次到1万次不等)等特点,适合用在胶合逻辑(glue logic,如DSP芯片外围的译码逻辑),IO扩展,IO电平转换,FPGA 芯片配置等应用场合。如Altera的MAX7000和MAX3000系列芯片,Xilinx的XC9500和CoolRunner/II 系列芯片,Lattice的ispMACH4000/Z系列芯片都是CPLD器件,容量从32宏单元到512宏单元不等。 FPGA主要是指采用四输入查找表(LUT4)的基于SRAM的器件,因为SRAM是挥发的,掉电丢失数据, 所以FPGA需要外部配置ROM,上电的时候,从外部的ROM把FPGA的配置数据导入到FPGA芯片内部后工作。具有SRAM的FPGA采用标准的CMOS制造工艺,可以随着最新的工艺而更新还代,给用户带来了实惠;衡量FPGA容量的一个基本指标是逻辑单元(Logic cell或者Logic element),由一个可编程得LUT4和一个可编程的DFF组成,LUT4完成组合逻辑功能, 而DFF用来实现时序功能。FPGA的容量从几千的逻辑单元到几十万的逻辑单元不等。如Altera的Cyclone/II/III和Stratix/II/III系列芯片,Xilinx Spartan3/3E/3A/3AN和Virtex4/5系列芯片都是FPGA器件。 随着芯片技术的发展,CPLD和FPGA的概念已经模糊在一起,如Altera和Lattice公司把小容量(小于2K 左右逻辑单元)非挥发的可编程器件归到CPLD里,如Altera的MAXII系列和Lattice的MACH XO系列芯片,把基于SRAM的FPGA和FLASH的储存单元做到一个芯片里面,以及跟传统的CPLD不一样了; 总之,我们可以简单的区分FPGA和CPLD,CPLD:小容量(<2K左右LE)的非挥发的可编程器件;其它 的可编程器件都可归到FPGA。 系统的比较: 尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结 构上的差异,具有各自的特点: ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结 构决定了其延迟的不可预测性。 ③在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能 来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。 ④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。 ⑤CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。 ⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并 且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。

FPGA型号对比

FPGA入门 什么是FPGA与CPLD的区别FPGA的应用FPGA的优势Altera产品介绍设计流程开始FPGA设计设计工具与实例获得下一步资源Altera产品介绍 ASIC 如果您正在寻找 ASIC,这里将为您提供所需要的一切。我们的 HardCopy ASIC支持Stratix原型的无缝移植,在最短的时间内帮助您以最低的风险、最低的ASIC 开发总成本将产品推向市场。 CPLD 对于胶合逻辑以及任何控制功能,我们的非易失 MAX 系列提供市场上成本最低的CPLD —— 单芯片解决方案,非常适合接口桥接、电平转换、I/O 扩展和模拟 I/O 管理应用。 产品系列密度工艺节点

FPGAs逻辑单元ALM (高性能自适应逻辑模块)工艺节点 Stratix V1,052,000397,00028nm Stratix IV813,050325,22040nm Stratix III338,000135,20065nm Stratix II132,54053,01690nm Stratix79,040-130nm FPGAs逻辑单元ALM (高性能自适应逻辑模块)工艺节点 Arria V503,500190,00028nm Arria II348,500139,40040nm Arria GX90,22036,08890nm FPGAs逻辑单元ALM (高性能自适应逻辑模块)工艺节点 Cyclone V300,000113,20828nm Cyclone IV149,760-60nm Cyclone III198,464-60nm Cyclone II68,416-90nm Cyclone I20,060-130nm CPLD逻辑单元ALM (高性能自适应逻辑模块)工艺节点 MAX V2,210-0.18um MAX II2,210-0.18um MAX 3000A640-0.30um

FPGA、CPLD、ASIC、DSP、单片机的区别

1. FPGA FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA 上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。 什么是FPGA? 简单来说,FPGA就是“可反复编程的逻辑器件”。FPGA取自 Field Programmable Gate Array的首个字母,代表现场(Field)可编程(Programmable)逻辑阵列(Gate Array)。 由于在产品发售后您仍然可以对产品设计作出修改,因此我们可以顺利地对产品进行更新以及针对新的协议标准作出相应改进。相对于对售后产品设计无法进行修改的ASIC和ASSP来说,这是FPGA特有的一个优势。由于FPGA 可编程的灵活性以及近年来科技的快速发展,FPGA也正向高集成,高性能,低功耗,低价格的方向发展,并具备了与ASIC和ASSP 同等的性能,被广泛地使用在各行各业的电子及通信设备里。 FPGA与CPLD的区别 尽管很多人听说过CPLD,但是关于CPLD与FPGA之间的区别,了解的人可能不是很多。虽然FPGA与CPLD都是“可反复编程的逻辑器件”,但是在技术上却有一些差异。简单地说,FPGA就是将CPLD的电路规模,功能,性能等方面强化之后的产物。 一般而言,CPLD与FPGA之间的区别的如下所示(当然也有例外)。

单片机、DSP、PLC、CPLD、FPGA、嵌入式的区别

所谓嵌入式,专指嵌入到其它系统内部,满足特定需求的专用计算机系统。它的概念非常广泛,只要是具有包含软硬件等计算机专有属性的系统都可以称之为嵌入式系统。 单片机是指把CPU、存储器、输入输出设备或接口集成到一片芯片内,加少量的外围电路就可以构成计算机系统的器件,目前常用的有MCS-51系列、PIC系列等器件,生产厂家很多、以8位、16位为主,一般没有操作系统,主要满足简单的控制需求。 目前以ARM为代表的32位CPU严格意义上说是一个单板机系统,可以加载Linux、WinCE等复杂的操作系统,可以满足复杂的需求。 PLC是可编程控制器,也是嵌入式系统的一种,但是一般用于电气控制,已经预制了很多程序,用梯形图等简单的编程语言就能构成系统。PLC价格昂贵、应用简单、容易上手,一般用来实现工业现场复杂情况下的控制,应用领域有限。 CPLD和FPGA以往大多用于可编程数字电路的实现,使数字电路设计趋于简单和可更改设计。这几年随之FPGA的发展,内部可以嵌入微控制器核,来构建SoC(System on Chip),但是开发难度相当大。 DSP的优势在于信号处理,运算能力强大,但控制能力一般,一般往往用于视频分析等需要进行信号复杂运算的场合。 DSP:数字信号处理器,处理器采用哈弗结构,工作频率较高,能大幅度提高数字信号处理算法的执行效率。 MCU:微控制器,主要用于控制系统,工作频率一般来说比DSP低,硬件上具有多个IO 端口,同时也集成了多个外设,主要是便于在控制系统中的应用。至于ARM处理器,个人认为是MCU的高级版本,ARM本身只是一个内核,目前已经有多个版本。 CPLD:复杂可编程逻辑器件 FPGA:现场可编程门阵列 后两者都是可编程器件,CPLD目前一半采用FLASH技术,而FPGA采用SRAM技术,这就决定了FPGA需要采用特定的配置技术。同时FPGA的规模要比CPLD大得多,但CPLD应用起来相对要简单的多

FPGA_ASIC-一种高精度运动控制器IP核设计与实现

一种高精度运动控制器IP核设计与实现 闫永志 王宏 杨志家刘鹏 (中国科学院沈阳自动化研究所,辽宁 沈阳 110016) (中国科学院研究生院,北京 100039) 摘 要:本文提出了一种运动控制器软IP的设计方案,该控制器可以控制4个轴的步进电机或数字伺服电机,可以进行各轴独立的定位控制、速度控制,也可任选2轴或3轴来进行直线、圆弧和位模式插补。文中介绍了其系统结构、基本功能和插补算法。设计最终形成软IP核,并在Xilinx公司的Vertex2系列FPGA 中予以实现和验证。 关键词:运动控制 插补 IP ASIC FPGA 中图法分类号: TN4文献标识码:A Design and Implementation of High Precision Motion Controller IP Yongzhi Yan1,2 Hong Wang1 Zhijia Yang1Peng Liu1 1( Shenyang Institute of Automation , Chinese Academy of Sciences, Liaoning Shenyang, 110016) 2( Graduate School of the Chinese Academy of Sciences, Beijing, 100039) Abstract: This paper designs a motion controller soft IP, it can control 4 axes of either stepper motor or pulse type servo drivers for position, speed, and interpolation. Any 2 or 3 axes can be selected to perform linear, circular, and bit pattern interpolation. We describe structure, function and interpolation arithmetic of the motion controller. Finally, the montion controller soft IP is implemented and verified in Xilinx Vertex2 FPGA. Key words:motion control interpolation IP ASIC FPGA 1引言 随着计算机、控制理论、微电子等技术的迅速发展,运动控制技术取得了巨大的进步,已成为推动新的产业革命的关键技术。简单地说,运动控制就是对机械运动部件的位置、速度等进行实时的控制管理,使其按照预期的运动轨迹和规定的运动参数进行运动[1]。早期的运动控制技术主要是伴随着数控技术、机器人技术和工厂自动化技术发展而来的。近年来,随着运动控制技术的不断进步和完善,运动控制器作为一个独立的工业自动化控制类产品,已经应用在越来越多的产业领域中。 目前基于PC(Personal Computer)总线的以DSP(Digital Signal Processing)或专用运动控制ASIC(Application Specific Integrated Circuit)作为核心的开放式运动控制技术已经成为主流。将PC 机的信息处理能力和开放式的特点与运动控制器的运动轨迹控制能力有机地结合在一起,具有信息处理能力强、开放程度高、运动轨迹控制准确、通用性好的特点[2]。本设计使用verilog硬件描述语言设计了一种带有通用的PC机总线接口的运动控制器软IP(Intellectual Property)核,并通过FPGA(Field Programmable Gate Array)予以实现和验证。 2系统结构和基本功能 本设计是一个用于实现4轴运动控制的控制器,通过这个控制器可以控制由步进电机或由数字脉冲型伺服电机驱动的4个轴的位置、速度和插补。该系统由5个部分组成,分别是(1)命令/数据处理模块(2)插补控制模块(3)4个轴的运动控制模块(4)中断信号发生模块(5)脉冲分配模块。图1为该运动控制器的系统结构图。 该运动控制器的主要功能如下: (1)独立的四轴驱动:可以分别控制四个电机驱动轴的运动,四个轴的功能完全相同。 (2)驱动速度控制:驱动脉冲的输出速度可以从1PPS(Pulses Per Second)到4MPPS,每个驱动轴可以进行定速驱动、直线加/减速驱动、S曲线加/减速驱动。 (3)2轴/3轴直线插补驱动:可以选择4个轴中的任何2个或3个轴进行直线插补驱动。 (4)圆弧插补驱动:可以选择4个轴中的任何2个轴进行圆弧插补驱动。

认清CPLD和FPGA

认清CPLD和FPGA CPLD和FPGA都是我们经常会用到的器件。有的说有配置芯片的是FPGA,没有的是CPLD;有的说逻辑资源多的是FPGA,少的是CPLD;有的直接就不做区分,把他们都叫做FPGA。那么两者到底有什么区别呢?下面我们就以Altera公司的CPLD和FPGA为例来说说两者的区别。 首先我们看一下CPLD的芯片结构,搞清楚CPLD是由哪几部分组成的。下图是MAX 系列CPLD的芯片结构图: 从图中可以清楚的看出来CPLD主要由三部分组成:Macro cell(宏单元),PIA(可编程连线),和IO Control Block(IO控制块)。每个宏单元都与GCLK(全局时钟)OE(输出使 能)GCLR(清零)等控制信号直接相连,并且延时相同。各宏单元之间也由固定长度的金属线互连,这样保证逻辑电路的延时固定。其中宏单元模块是CPLD的逻辑功能实现单元,是器件的基本单元,我们设计的逻辑电路就是由宏单元具体实现的。下面我们再来看看宏单元的具体结构:

一个宏单元主要包括了LAB Local Array(逻辑阵列),Product-Term Select Matrix(乘积项选择矩阵)和一个可编程D触发器组成。其中逻辑阵列的每一个交叉点都可以通过编程实现导通从而实现与逻辑,乘积项选择矩阵可实现或逻辑。这两部分协同工作,就可以实现一个完整的组合逻辑。输出可以选择通过D触发器,也可以对触发器进行旁路。通过这个结构可以发现,CPLD非常适合实现组合逻辑,再配合后面的触发器也能够实现一定的时序逻辑。 我们再来以cyclone系列为例看看FPGA的内部结构:

采用 CPU,ASIC,FPGA和NP网络处理器的网络交换机体系结构的比较

通用CPU,ASIC,FPGA和NP网络处理器这四种网络交换机体系结构的详细比较分析 使用NP+ASIC的体系设计方式是最为完美的选择。使用ASIC芯片高速处理各种传统的业务,如二层交换、三层路由、ACL、QoS以及组播处理等等,满足核心交换机对于交换机处理性能的需求;而利用NP实现各种非传统或未成熟的业务,根据需要灵活支持IPV6、Load Balancing、VPN、NAT、IDS、策略路由、MPLS、防火墙等多种业务功能,满足核心交换机对于业务按需叠加的需求…… 多层交换体系结构的引入有效地提高了局域网的速度,对多层交换体系结构排队模型、交换实施和交换结构等方面的了解,可以更深刻地认识到局域网交换机在性能上的提高。 首先明确几个基本概念: 排队模型 交换结构是指数据从一个端点到达另一个端点的“高速路”,排队是一种用于控制拥塞的缓冲机制,当交换结构出现拥塞时,会在很大程度上直接影响交换机的性能,所以进行拥塞管理是非常有必要的。在

多个端口争用同一个端口时就需要拥塞管理,对信息包进行排队处理。排队可以采用动态缓冲区排队或固定缓冲区排队,其中动态缓冲区排队时缓冲区长度为固定增量(如每次64K字节),可以更有效地利用缓冲区资源;而固定缓冲区排序时缓冲区的长度是固定的,这样缓冲区的使用效率不高,但比定制控制器(custom conollers)成本低。排队可以在交换结构的输入端口进行,即输入排队,也可在交换结构的输出端口进行,即输出排队。在输入排队时,信息包在进入端口处得到缓冲,最高可将吞吐量减少60%,但会造成线路端阻塞;在输出排队时缓冲区设在输出端口,无线路阻塞,但在流量高峰期间会造成缓冲区溢出。 交换实施 交换实施用来说明交换决策的地点和方式:是在本地还是在中央地点,是最长匹配还是准确匹配。 交换决策的地点是中央地点时,就是集中交换。集中交换采用集中发送表,针对交换和识别提供集中控制,为达到实施的快速处理,查询由ASIC完成,集中交换可以执行第2层或第3层查询。 在分布式交换时,交换决策由端口或模块在本地进行,其第2层和第3层表必须实现同步化,以便说明添加、移动或修改。 交换实施还可分为基于流量的交换和基于转发信息库的交换。基于流量的交换是基于需求的交换,入口包含源地址、目标地址和/或第4

单片机,DSP和FPGA区别以及发展前景

单片机、dsp、FPGA/CPLD的分析、比较 硬件天地2009-02-15 11:54:39 阅读367 评论0 字号:大中小订阅 引言 信息技术正在快速发展,其应用已经深入到各个领域各个方面。如今越来越多的电子产品向着智能化、微型化、低功耗方向发展,其中有的产品还需要实时控制和信号处理。电子系统的复杂性在不断增加,它迫切要求电子设计技术也有相应的变革和飞跃。使用纯SSI 数字电路设计系统工作量大,灵活性低,而且系统可靠性差。广泛使用单片机(MCU) 设计系统克服了纯SSI 数字电路系统许多不可逾越的困难,是一个具有里程碑意义的飞跃。而DSP 以其极强的信号处理功能赢得了广阔的市场,得到了广泛地应用。近年来,PLD 器件迅速发展,尤其是CPLD/ FPGA 向深亚微米领域进军,PLD 器件得到了广泛应用,以CPLD/ FPGA 为物质基础的EDA 技术诞生了。它具有电子技术高度智能化、自动化的特点,打破了软硬件最后的屏障,使得硬件设计如同软件设计一样简单。它作为一种创新技术正在改变着数字系统的设计方法、设计过程和设计观念。单片机,DSP ,PLD/ EDA 以其各自的特点满足了各种需要,正从各个领域各个层面改变着世界,它们已经成为数字时代的核心动力,推动着信息技术的快速发展。 以下,我们将对单片机,DSP ,PLD/ EDA 分别加以介绍,并作比较和分析。 单片机 单片机是集成了CPU ,ROM ,RAM 和I/ O 口的微型计算机。它有很强的接口性能,非常适合于工业控制,因此又叫微控制器(MCU) 。它与通用处理器不同,它是以工业测控对象、环境、接口等特点出发,向着增强控制功能,提高工业环境下的可靠性、灵活方便地构成应用计算机系统的界面接口的方向发展。所以,单片机有着自己的特点。 品种齐全,型号多样 自从INTEL 推出51 系列单片机,许多公司对它做出改进,发展成为增强型51 系列,而且新的单片机类型也不断涌现。如MOTOROLA 和PHIL IPS 均有几十个系列,几百种产品。CPU 从8 ,16 ,32 到64 位,多采用RISC 技术,片上I/O 非常丰富,有的单片机集成有A/ D ,“看门狗”,PWM ,显示驱动,函数发生器,键盘控制等,它们的价格也高低不等,这样极大地满足了开发者的

--FPGA与ASIC的比较

简介FPGA与ASIC FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是作为ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。亮点在于它的可编程性,这个给设计实现带来了很大的方便。也为降低设计成本提供了可行方案,但是速度较之相同工艺的ASIC要慢。 ASIC(Application Specific Integrated Circuit),即专用集成电路,是一种为专门目的而设计的集成电路。是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。ASIC的特点是面向特定用户的需求, ASIC 分为全定制和半定制。亮点在于专用,量身定制所以执行速度较快,比同等工艺的FPGA来说即比FPGA快,而且可以节省在FPGA中的一些没有使用的逻辑实现,大规模生产的话成本也会比FPGA低。 ********************************************************************* FPGA与ASIC的比较: FPGA的基本特点主要有: FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。现场可编程门阵列(FPGA)是可编程器件。FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能。 ?FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 ?加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA 进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。 FPGA允许无限次的编程. ?FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。 ?采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 ?FPGA可做其它全定制或半定制ASIC电路的中试样片。 ?FPGA内部有丰富的触发器和I/O引脚。 ?FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 ?FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 ?快速成品,可以被修改来改正程序中的错误和更便宜的造价 ?它与ASIC的区别是用户不需要介入芯片的布局布线和工艺问题,而且可以随时改变其逻辑功能,使用灵活。 ?资金投入小。FPGA不像ASIC那样需要服务费用(如NRE),也不需对厂商做任何订单数量上的承诺,这样在项目之初就压缩了很多潜在花费。

CPLD和FPGA的区别

《CPLD和FPGA的区别》 1).两者的区别: 最大的区别,就是CPLD进行一次下载编程(写入操作)后,其逻辑门组合方式就保存下来,不管什么时候断电,通电,他都可以执行上一次的逻辑功能。FPGA不能保存上次逻辑功能,断电后,FPGA就失去所有配置。因此FPGA通常需要带一块配置芯片,在通电后,对FPGA进行重新配置,恢复功能(重配置需要时间,CPLD通电后,马上就可以执行相应逻辑)。 CPLD的擦写次数非常有限,经过100~1000次左右的反复擦写就报废了。而FPGA可以反复擦写无限次(当然,实际上是有限的。但是在通常使用中,就算你反复擦写,大概你挂了,它还没有挂)。FPG的配置芯片擦写次数有限,而且常常只能烧写一次(OTP)。CPLD的容量一般比较小,FPGA容量很大。 综合上面所有的情况,结论是这样的,你在学习阶段,或者开发阶段,最好使用FPGA,因为可以反复擦写,不对马上重新烧写。只要不断电,你烧写下去的逻辑功能是一直可用的。定型后可以使用CPLD,可以免去FPGA。但是当你的配置容量非常大的时候,CPLD装不下,你又必须采用FPGA了,这个时候,在最后成品上需要加配置芯片(当然也用单片机模拟配置芯片,具体这个地方不介绍)。 市面上尤其是学校里面可以看到Xilinx公司或者Altera公司各种不同的开发板,其实只有两个大类,CPLD开发板和FPGA开发板。尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点: ①CPLD更适合完成各种组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。 ③在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。 ④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。 ⑤CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTF

FPGA_ASIC-高速数据压缩与缓存的FPGA实现

高速数据压缩与缓存的FPGA实现 王宁李冰 (东南大学集成电路学院南京210096) 来源:微计算机信息 摘要:本文设计了一种以FPGA为数据压缩和数据缓存单元的高速数据采集系统,其主要特点是对高速采集的数据进行实时压缩,再将压缩后的数据进行缓冲存储。该设计利用数据比较模块实时地将一个压缩比数组中的最大值保存起来,再将该最大值缓冲存储,从而满足采集系统的需要。文中分别设计了基于双口RAM和FIFO实现的两种缓冲方法,并对仿真结果进行了对比分析,该系统工作频率可达90MHZ。 关键词:高速数据采集 , 数据压缩 , 数据缓存 , 现场可编程门阵列 中图分类号:TP274文献标识码:A Implementation of High-Speed Data Compression and Data Cache with FPGA Abstract: A high-speed data acquisition system that uses FPGA as the data compression and data cache unit has been designed in this paper, the main point of this system is that it compresses the acquired data in time and then stores the compressed data in the cache. In order to meet the conditions that data acquisition needs, a data-comparing module is used to select the largest number in the compression ratio array, and then store the largest number in the cache. Two methods that based on dual-ports RAM and FIFO are designed in this article, they are also analyzed and compared though software in detail, this system can work at the frequency of 90MHZ. Key Words: High-speed data acquisition, data compression, data cache, FPGA 1.引言 在战场上,为了使作战武器能及时地调整自身的姿态,需要实时地采集一系列的状态参数,再对这些参数进行分析处理,这就涉及到高速的数据采集系统。同时由于作战武器控制

CPLD与FPGA性能特点差异

CPLD与FPGA区别 转载了网上的文章,帮大家了解下基本概念问题! 尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点: ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。 ③在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。 ④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。 ⑤CPLD比FPGA使用起来更方便。CPLD的编程采用E2P

ROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。 ⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。 ⑦在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。 ⑧CPLD保密性好,FPGA保密性差。 ⑨一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。

相关主题