搜档网
当前位置:搜档网 › vissim中文使用手册

vissim中文使用手册

vissim中文使用手册
vissim中文使用手册


VISSIM3.02 使用说明


1 简介..........................................................................................................1 2 定义路网属性 .........................................................................................3
2.1 物理路网.................................................................................................................................3 2.1.1 准备底图..........................................................................................................................3 2.1.2 定义比例尺......................................................................................................................3 2.1.3 添加路段(Links) .........................................................................................................4 2.1.4 连接..................................................................................................................................6 2.2 定义车辆特性.........................................................................................................................7 2.2.1 定义分布..........................................................................................................................7 2.2.2 车辆加速度......................................................................................................................9 2.2.3 车辆类型和等级 ............................................................................................................10 2.2.4 交通组成........................................................................................................................12 2.2.5 交通流量........................................................................................................................14 2.2.6 期望车速变化 ................................................................................................................16 2.3 路线选择与转向...................................................................................................................20 2.4 动态分配...............................................................................................................................22 2.5 公共交通...............................................................................................................................23 2.5.1 公交停靠站....................................................................................................................23 2.5.2 公交线路........................................................................................................................24 2.6 信号控制交叉口设置 ..........................................................................................................26 2.6.1 信号参数设置 ................................................................................................................26 2.6.2 信号灯安放及设置 ........................................................................................................28 2.6.3 优先权设置....................................................................................................................29
4 仿真.......................................................................................................32
4.1 参数设置...............................................................................................................................32 4.2 仿真 ......................................................................................................................................32
5 输出结果 ...............................................................................................34
5.1 5.2 5.3 5.4 WARNINGS(*.ERR)文件 ................................................................................................34 TRAVEL TIME(*.RSZ)文件............................................................................................34 DELAY TIMES(*.VLZ)文件............................................................................................38 QUEUE COUNTER(*.STZ)文件......................................................................................40
i

VISSIM3.02 使用说明
1 简介
VISSIM3.0 的操作使用主要分为三大步骤:
建立路网
仿真与测试
输出结果
在 VISSIM3.02 中,包含两种数据:静态数据和动态数据 静态数据表示道路设施,包括:
道路(Link) :道路是有起点、迄点的有向线段。 连接段:表明转向、车道减少、车道增加 公交车站位置和长度 信号灯和停车线位置 检测器位置和长度 公交招呼点
动态数据包括:
交通流量,包括货车的百分比 路线选择点位置 优先规则 停车信号位置 公交车线路、发车时间、滞留时间 数据收集点 行程时间和延误时间 排队长度
1

VISSIM3.02 使用说明
VISSIM3.0 中主要名词术语介绍见下图-1。
优先规则 信号灯 车辆检测器 强制车道变化 公交站台
车道变化选择
车道
期望车速 图-1
停车线
在介绍具体操作步骤之前,先介绍一下 Vissim3.02 软件中菜单项和功能键, 如图-2 所示。
仿真 文件菜单 选择 测试
信号灯控制 编辑菜单 路网编辑 动画
快捷工具栏
图-2
下面,针对每一步进行具体介绍。
2

VISSIM3.02 使用说明
2 定义路网属性
2.1 物理路网 2.1.1 准备底图
导入底图:选中 Options 菜单—〉Background—〉Open…,如图-3 所示:
图-3
底图来源有两种方式:
扫描图片 :*.BMP 文件格式 CAD 输出:将 Autocad 格式文件转化为*.BMP 格式文件
2.1.2 定义比例尺
选中 Options 菜单—〉Background—〉Scale,这时鼠标变成一把尺子。 选中底图上一点,按住鼠标左键,拖动鼠标到某一位置,松开鼠标,会出现 一个对话框,要求你输入刚才鼠标移动距离的实际尺寸,见图-4。
3

VISSIM3.02 使用说明
图-4
图-5
根据导入底图的实际尺寸,输入距离(m)
注意:定义比例尺时,要选择已经标注尺寸或能够知道尺寸的线段方向移动“尺子” 。
2.1.3 添加路段(Links)
定义好比例尺后,下一步就可以开始画 Link 线了。
选中快捷工具栏上的 , 按住鼠标的右键, 然后沿着要划线的方向拖动鼠 标,放开鼠标,会出现图-6 所示界面,创建 一路段(Link)。
Number ——路段(Link)的编号 Link Length ——路段(Link)的长度 Lanes Width ——车道宽度 Gradient ——路段(Link)的坡度 Opp. Direction / No. of Lanes——对向路段(Link)的车道数 Right-side rule ——保持车辆在右边车道,超车时除外 Animation —— Change Direct. ——改变车道的方向 Cost… ——用于动态分配时评估道路费用函数,如图-7 所示。
4

VISSIM3.02 使用说明
Evaluation
——评估路段长度,如图-8 所示。
图-6
图-7
图-8
对于画好的路段(Link) ,用鼠标左键选中,双击左键同样可以出现图-6 所 示界面。
注意:路段(Link)是有向线段,方向与车辆行驶方向一致。
——路段(Link)编辑按钮,用于在 Link 中增加中间节点,改变 Link
5

VISSIM3.02 使用说明
的曲线形状。 1.单击鼠标左键选中 Link; 2.在欲增加节点的地方,单击鼠标右键,即可插入一个节点; 3.用鼠标左键按住节点拖动,即可调整 Link 形状。
2.1.4 连接
1.用鼠标左键单击快捷工具栏上的连接按钮—— 2.用鼠标左键单击作起点的路段(Link) ;

3.将鼠标指向第一个节点,按住鼠标右键拖动到期望的终点位置(另一个 Link) ,松开鼠标,将会出现图-xx 所示对话框: 4.选择要相互连接的车道,即可。
注意: Lane1 代表最右边的车道,依次类推 连接的车道数要匹配 Emerg. Stop 和 Lane change 用于表示驾驶员行为; Lane change 定义车辆变车道的位置; Emerg. Stop 定义车辆最后可能变车道的位置; Gradient 用于定义连接段坡度; Spline 可以使得曲线圆滑; Cost… 仅用于动态分配模式。
图-9
6

VISSIM3.02 使用说明
2.2 定义交通属性 2.2.1 定义分布 车速分布
选中 Network Edit 菜单—〉 Distributions—〉Desired Speeds, 计算机弹出一个对话框, 如图-10 所示:
通过 Edit…键可以编辑 VISSIM 软件提供的缺省速度分 布特性 通过 New…键可以自 己定义新的速度分布特性
图-10
选择 EDIT 键,计算机弹出图-11 所示的对话框:
图-11
在空白处输入最小速度和最大速度,下面区域会出现一条直线。在这条直线 上单击鼠标右键,直线上会增加一个节点,将鼠标指针移到节点上,按住鼠标左 键,可以拖动节点来完成速度分布情况。
7

VISSIM3.02 使用说明
车辆长度分布
选中 Network Edit 菜单—〉Distributions—〉Vehicle Lengths ,计算机弹出 一个对话框,如图-12 所示:
图-12 通过 EDIT 键可以编辑 VISSIM 软件提供的缺省长度分布特性 通过 NEW 键可以自己定义新的长度分布特性
选择 EDIT 键,计算机弹出图-13 所示的对话框:
图-13
在空白处输入最小长度和最大长度,下面区域会出现一条直线。在这条直线 上单击鼠标右键,直线上会增加一个节点,将鼠标指针移到节点上,按住鼠标左 键,可以拖动节点来完成长度分布情况。
8

VISSIM3.02 使用说明
__年分布 公里数分布 重量分布 动力分布 滞留分布 2.2.2 车辆加速度
选中 Network Editor 菜单—〉Accelerations,计算机会弹出一个对话框,如 图-14 所示:
图-14 通过 EDIT 键可以编辑 VISSIM 软件提供的缺省加速度分布特性 通过 NEW 键可以自己定义新的加速度分布特性,如图-15 所示:
9

VISSIM3.02 使用说明
图-15
2.2.3 车辆类型和分类
用户可以定义除了缺省车型(Car,HGV,Bus,Tram,Bike 和 Pedestrian) 以外的车型。 选中 Network Editor 菜单—〉Vehicles type…,计算机弹出一个对话框,如图 -16 所示:
通过 Edit…键可以编辑 VISSIM 软件提供的 缺省车辆类型; 通过 New…键可以自己定义新的车辆类型; 通过 Copy…键可以拷贝别的车辆类型。
选择 EDIT 键, 弹出图-17 所示的对话 框, 可以在对话框中定义车辆的类 型。
图-16
10

VISSIM3.02 使用说明
图-17
选中 Network Editor 菜单—〉Vehicle Classess,计算机弹出一个对话框,如 图-18 所示:
图-18 通过 Edit…键可以对车辆类别进行编辑; 通过 New…键可以自己定义新的车辆类别; 通过 Delete 键可以删除已经定义的车辆类别;
图-19
如图-19 所示。
11

Synchro_6_使用手册

Synchro 6 使用手册 Synchro软件是一套完整的城市路网信号配时分析与优化的仿真软件;与“道路通行能力手册 (HCM2000)”完全兼容,可与“道路通行能力分析软件(HCS)”及“车流仿真软件(SimTraffic)”相互衔接来整合使用,并且具备与传统交通仿真软件CORSIM, TRANSYT-7F等的接口,它生成的优化信号配时方案可以直接输入到Vissim软件中进行微观仿真。Synchro软件既具有直观的图形显示,又具有较强的计算能力,能很好地满足信号配时评价的各项要求,其仿真结果对交通管理者具有极高的参考价值,是一套易学易用、能与交通管理与控制的专业知识密切结合的有效分析工具。目前,Trafficware公司已推出Synchro 7版本,与Synchro 6相比,Synchro 7增加了不少新的功能。 教学要求: 本课程将在《交通管理与控制》课程的基础上,通过学习Synchro软件的主要功能与其操作步骤,能以实例探讨来阐述此软件的使用方法与运算结果及其输出,并具备自行针对市区各类型路网的各种道路交通现状进行分析,掌握包括信号配时优化设计在内的各种交通工程改善方案及其仿真分析与评估的专业技能。 一、引言 Synchro软件以城市道路信号系统作为分析对象,具备通行能力分析仿真,协调控制仿真,自适应信号控制仿真等功能,包括: 1.单一交叉口/干道/区域交通系统的通行能力分析 2.单一交叉口/干道/区域交通系统的现状服务水平分析 3.单一交叉口/干道/区域交通系统的现状信号运作绩效评估 4.单一交叉口的信号配时设计 5.干道/区域交通系统的信号协调控制系统设计 Synchro软件同时结合了道路通行能力分析、服务水平评估及信号配时设计等多项功能,且可同时适用于市区独立交叉口(十字形或T形、Y形)、干道系统与区域交通系统等多种道路几何类型。此外,Synchro 在从事信号配时设计时,其配时优化目标的设定,除可沿用传统独立交叉口配时设计中所常用的最小化平均延误外,还加入了干道续进绿波带宽最大化的信号协调控制目标,同时还兼顾到交叉口相位设计的需要。 在实际操作中,Synchro除可提供方便的窗口编辑人机接口(图1)外,还可与实时车流仿真软件SimTraffic相互结合,来模拟路口交通流状况;同时,Synchro可将所构建完成的路网几何数据转换成可与传统模拟模式CORSIM、区域路网配时设计模式TRANSYT、道路通行能力分析模式HCS以及微观仿真软件Vissim等常用交通工程分析软件来相互转换使用文档,以利用户针对各种建议方案进行客观性的整合分析与应用。

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

vissim操作手册

VISSIM操作手册交通运输工程学院

1. VISSIM简介 (1) 2定义路网属性 (4) 2.1物理路网 (4) 2.1.1准备底图的创建流程 (4) 2.1.2添加路段(Links) (7) 2.1.3连接器 (9) 2.2定义交通属性 (10) 2.2.1定义分布 (10) 2.2.2目标车速变化 (12) 2.2.3 交通构成 (14) 2.2.4 交通流量的输入 (15) 2.3路线选择与转向 (15) 2.4 信号控制交叉口设置 (17) 2.4.1信号参数设置 (17) 2.4.2信号灯安放及设置 (20) 2.4.3优先权设置 (21) 3仿真 (24) 3.1 参数设置 (24) 3.2 仿真 (25) 4评价 (26) 4.1 行程时间 (26) 4.2 延误 (28) 4.3 数据采集点 (30) 4.4 排队计数器 (32)

1. VISSIM简介 VISSIM为德国PTV公司开发的微观交通流仿真软件系统,用于交通系统的各种运行分析。该软件系统能分析在车道类型、交通组成、交通信号控制、停让控制等众多条件下的交通运行情况,具有分析、评价、优化交通网络、设计方案比较等功能,是分析许多交通问题的有效工具。 VISSIM采用的核心模型是Wiedemann于1974年建立的生理-心理驾驶行为模型。该模型的基本思路是:一旦后车驾驶员认为他与前车之间的距离小于其心理(安全)距离时,后车驾驶员开始减速。由于后车驾驶员无法准确判断前车车速,后车车速会在一段时间内低于前车车速,直到前后车间的距离达到另一个心理(安全)距离时,后车驾驶员开始缓慢地加速,由此周而复始,形成一个加速、减速的迭代过程。 图1.1 VISSIM中的跟车模型(Wiedemann 1974) VISSIM的主要应用包括: 除了内建的定时信号控制模块外,还能够应用VAP、TEAPAC、VS-PLUS等感应信号控制模块。 在同时应用协调信号控制和感应信号控制的路网中,评价和优化(通过与

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

VISSIM使用指南

INTRODUCTORY TRAINING VISSIM VISSIM is a microscopic, time step and behavior based simulation model developed to model urban traffic and public transit operations. The program can analyze traffic and transit operations under constraints such as lane configuration, traffic composition, traffic signals, transit stops, etc., thus making it a useful tool for the evaluation of various alternatives based on transportation engineering and planning measures of effectiveness. The traffic simulator in VISSIM is a microscopic traffic flow simulation model including the car following and lane change logic. VISSIM uses the psycho-physical driver behavior model developed by Wiedemann (1974). The basic concept of this model is that the driver of a faster moving vehicle starts to decelerate as he reaches his individual perception threshold to a slower moving vehicle. Since he cannot exactly determine the speed of that vehicle, his speed will fall below that vehicle’s speed until he starts to slightly accelerate again afte r reaching another perception threshold. This results in an iterative process of acceleration and deceleration.

VISSIM报告步骤

VISSIM 仿真实验 利用AutoCAD软件和鸿业道路6.0 软件对312国道进行合理的局部路网的交通组织,以及平面交叉口进行渠划设计,设计合理的标志标线,并在此基础上进行仿真。获得该路段312国道的V/C值、平均行驶速度、流量等的变化。 1 导入CAD地图文件 建立一个精确VISSIM 模型的必要条件是:至少具有一张具有比例尺的反映现实路网的背景图片。本设计采用312国道局部路网地图,打开步骤如下:1) 依次选择:查看→背景→编辑…,点击加载…,选择导入VISSIM 的目标 图片文件。 2)关闭背景选择窗口,在巡航工具栏中点击显示整个显示整个地图。显示整个地图。 3) 再次打开背景选择窗口,选择待缩放的文件,点击比例尺。此时,鼠标指针变成一把尺,尺的左上角为“热点”。 4) 按住并沿着标距拖动鼠标左键。 5) 释放鼠标输入两点间的实际距离,点击确定,本次设计的所选距离为1400米。 6) 在背景选择窗口中点击起点,可以将背景图片移动到目标位置。按住鼠标左键,可以把背景图片拖到一个新的位置。 7) 依次选择:查看→背景→参数…,点击保存。 2 图形编辑 2.1 路段属性和选项 路段画法步骤如下: 1)在路段的起始位置点击鼠 标右键,沿着交通流运行方向将 其拖至终点位置,释放鼠标。 2)编辑路段数据包括:路段编 号、名称、车道数、路段类型, 是否生成相反方向等。如下图所 示: 2.2 连接器 VISSIM 路网是由相互连接的路段组成的,路段之间需要通过连接器实现

连接。没有连接器的话,车辆是不能从一条路段换到另一条路段。 具体步骤如下: 1)在第一个路段的指定位置(连接器起点) 右击并沿着交通流方向拖动鼠标到第二条路段 的指定位置(连接器终点),然后释放鼠标。 2.)编辑连接器数据,如右图所示,包括起 点路段和终点路段的车道连接状态。车道1 代 表最右侧的车道。和中间点数可以使路段连接平 滑过度等。 2.3 定义减速区 因本次所设计的内容有312国道与一条交通量非常少的支路相交,故在设计过程中在支路与312国道相交处的支路上设置减速区,设置过程如下: 1)选择减速区模式。 2)选择需要设置减速区的路段或 连接器。 3)右击减速区的起点,沿着路段/ 连接器将其拖动到目标位置。 4)释放鼠标,打开创建减速区窗 口。 5). 针对通过该路段/连接器的每 一车辆类型定义合适的车速和加速 度。 6)点击确定。对于多车道路段, 需要为每一条车道分别定义减速区,每条车道可定义不同特性。 7)设置减速区属性及选项包括名称、长度、车道、时间等 设置结果如下图:

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

VISSIM软件总说明

VISSIM软件总说明 一、总体介绍 VISSIM是一套微观交通仿真模拟软件,是PTV Vision推出的系列软件的一部分。它是一个可模拟多方式交通流的最强大的工具,不仅可以模拟小汽车、货车、公共汽车,还可以模拟地铁、轻轨、自行车和行人。灵活的网络结构可以使用户充满信心地模拟在交通系统中的任何一种几何特性的路段,任何一种驾驶行为。 VISSIM是在数十年里各高校研究所的各种不同研究成果基础上开发的。其核心的算法是有详细的文献记载。它开发的界面为其他外界的软件提供了很好的兼容性。它的路段连接结构方式允许它结合车辆运动轨迹完成多种变化的仿真,其精确度可达到1/10秒。自1992年进入市场以来,VISSIM已经成为模拟软件的标准,其投入的深入研发力量和世界范围内的大批用户保证了VISSIM在同类软件中处于领先地位。不仅如此,PTV首次提供了一套完整的交通分析软件,使得微观仿真和宏观战略交通规划需求模型结合在了一起。 PTV系列软件在全世界范围内拥有约2000个用户,在中国的用户也超过了210个,多所大学和研究单位、咨询公司、设计院都是PTV软件的客户。尤其在微观交通仿真领域,VISSIM得到了广泛的应用,成为了主流的产品。将近40%的中国大学都购买了我们的软件。以下是摘自“中国交通技术论坛”的比较中立的调查结果,将近半数的用户使用我们的仿真软件。 我们在中国的主要用户举例: z同济大学 z吉林大学

z哈尔滨工业大学 z清华大学 z北方工业大学 z北京航空航天大学 z北京交通大学 z长安大学 z昆明理工大学 z华中科技大学 z武汉理工大学 z华东交通大学 z中规院 z北京交通发展研究中心 z上海市政规划设计研究院 z上海综合交通研究所 z深圳交通发展研究中心 z广州市交通规划研究所 z等等 与其他软件公司的策略不同,PTV集团公司率先在2005年2月在上海成立了中国第一家独资子公司“辟途威交通科技(上海)有限公司”,员工都具有海外工作经验或是海外培训的经历。该子公司的工作目标是为了更好地为中国用户提供本土化的软件咨询销售服务和技术支持服务。 辟途威交通科技(上海)有限公司于2005年10月、2006年10月、2007年11月、2009年3月、2010年11月在上海和南京成功举办了五届PTV Vision中国用户应用研讨会,邀请了国内的用户和德国方面的专家共同交流了PTV软件的使用情况。 2008年8月,PTV集团推出了针对行人仿真的行人仿真附加模块。使得用户可以更好地模拟诸如枢纽站、换乘大厅、重要步行地区的行人交通情况,给出定量评价。目前在中国的用户有: z上海市政规划设计研究院 z上海综合交通研究所 z同济大学

VISSIM基本认识及基本操作实验报告文档

2020 VISSIM基本认识及基本操作实 验报告文档

VISSIM基本认识及基本操作实验报告文档VISSIM基本认识及基本操作实验报告 一、实验目的 掌握交通仿真系统VISSIM基本功能的使用。 二、实验原理 以基本路段、出口匝道、无信号平面交叉口为例,练习基本交通仿真操作。 三、实验内容 1、基本路段仿真 2、设置行程时间检测器 3、道路的连接和路径决策 4、冲突区的设置 四、实验步骤 单击菜单栏上的View,选择Options,在Languages&Units 下选择Chinese,切换成中文。 1、基本路段仿真步骤 (1)绘制路段:单击“路段&连接器”按钮,切换到路段编辑状态,将鼠标移到视图区,确定任意起点按住鼠标右键,平行向右移动鼠标,在需要的长度放开鼠标右键,路段绘制完成,在弹出的“路段属性”对话框内设置路段属性。车道数设置为“3”,单击“完成”。 (2)流量设置:单击“车辆输入”按钮,切换到路段流量编辑状态,双击路段,在“车辆输入”对话框输入流量“1500”,

车辆构成选择“Default”。路段起点出现黑色线段,表示已完成流量设置。 (3)运行仿真:菜单栏单击“仿真”―>“参数”,在弹出的“仿真参数”对话框内调节仿真运行速度,为看清车辆行驶,调小速度为“6仿真秒/s”,单击确定。 2、设置行程时间检测器步骤: (1)单击行程时间,左键单击选中主路段,然后在主路段靠近起点某处右键,出现红色竖线,起点检测器设置完成,再在靠近终点处右键出现绿色竖线同时弹出“创建行程时间检测”对话框,单击确定。 (2)评价结果输出:菜单栏单击“评价”―>“文件”在评价对话框内勾选行程时间。单击确定。

VISSIM仿真作业

题目 特别说明:以下所有题目中各进口道流量自行设置,机动车车辆构成、机动车期望速度、非机动车期望速度和行人期望速度如无特殊说明自行设置。仿真时长均为3600秒。机动车车道宽度均为3.5米。 1、图1中交叉口1和交叉口2均为信号控制交叉口,信号配时方案相同,信号周期均为120秒,各相位的黄灯时间均为3秒,东西向直行为第一相位(绿 灯时间35秒)东西向左转为第二相位(绿灯时间为19秒),南北向左转为第三相位(绿灯时间为38秒),南北向左转为第四相位(16秒)。对图1中交叉口1和交叉口2由东向西方向进行干线信号协调,并通过仿真计算出最佳相位差,分别给出相位差为0和最佳相位差下所有车辆在仿真时间段内的平均延误。同时提交以文字形式记录的具体操作、计算步骤及相关参数数据。 双 向 六 车 道 双向四车道双向六车道 交叉口1 交叉口2 图1 2、图2中交叉口1和交叉口2均为信号控制交叉口,信号配时方案相同,信号周期均为120秒,各相位的黄灯时间均为3秒,东西向直行为第一相位(绿 灯时间35秒)东西向左转为第二相位(绿灯时间为19秒),南北向左转为第三相位(绿灯时间为38秒),南北向左转为第四相位(16秒)。对图1中由东向西方向进行公交仿真,要求包含两条公交线路,一条包含一个港湾式公交站点,另一条包行一个路边式站点和一个港湾式公交站点,站点位置自行设置。同时提交以文字形式记录的具体操作、计算步骤及相关参数数据。

双向六车道 双向六车道 双向四车道 交叉口1 交叉口2 图2 3、 图3中交叉口1和交叉口2均为无信号控制交叉口,根据课件中无信号控制十字交叉口的让行规则进行仿真。同时提交以文字形式记录的具体操作、 计算步骤及相关参数数据。 双向 六车道 双向四车道 双向四车道 交叉口1 交叉口2 主路 次路 次路 图3

vissim中文使用手册


VISSIM3.02 使用说明


1 简介..........................................................................................................1 2 定义路网属性 .........................................................................................3
2.1 物理路网.................................................................................................................................3 2.1.1 准备底图..........................................................................................................................3 2.1.2 定义比例尺......................................................................................................................3 2.1.3 添加路段(Links) .........................................................................................................4 2.1.4 连接..................................................................................................................................6 2.2 定义车辆特性.........................................................................................................................7 2.2.1 定义分布..........................................................................................................................7 2.2.2 车辆加速度......................................................................................................................9 2.2.3 车辆类型和等级 ............................................................................................................10 2.2.4 交通组成........................................................................................................................12 2.2.5 交通流量........................................................................................................................14 2.2.6 期望车速变化 ................................................................................................................16 2.3 路线选择与转向...................................................................................................................20 2.4 动态分配...............................................................................................................................22 2.5 公共交通...............................................................................................................................23 2.5.1 公交停靠站....................................................................................................................23 2.5.2 公交线路........................................................................................................................24 2.6 信号控制交叉口设置 ..........................................................................................................26 2.6.1 信号参数设置 ................................................................................................................26 2.6.2 信号灯安放及设置 ........................................................................................................28 2.6.3 优先权设置....................................................................................................................29
4 仿真.......................................................................................................32
4.1 参数设置...............................................................................................................................32 4.2 仿真 ......................................................................................................................................32
5 输出结果 ...............................................................................................34
5.1 5.2 5.3 5.4 WARNINGS(*.ERR)文件 ................................................................................................34 TRAVEL TIME(*.RSZ)文件............................................................................................34 DELAY TIMES(*.VLZ)文件............................................................................................38 QUEUE COUNTER(*.STZ)文件......................................................................................40
i

DC基本问题

1.14. translation这一步是用什么DC命令来实现的? 我们知道,DC综合过程包括3个步骤:translation + logic optimization + mapping transition 对应命令为 read_verilog(read_vhdl等) logic optimization 和 mapping 对应于 compile 1.15. 逻辑优化和映射(logic optimization + mapping)又是用什么DC命令来实现的? logic optimization 和 mapping 均在compile命令完成,但是可以指定使用特殊的优化方法:structural 和flatten,建议大家在synthesis时同时生成structural 和flatten格式的netlist,然后打开看看到底有什么不同之处。 1.17. 基于路径的综合的意思是什么? 路径(path),是DC中的一个重要概念。它包括4种路径方式: 1 input到FF的D端。 2 FF的clk到另一个FF的D端。 3 FF的clk到输出Q。 4 input到output。 基于路径的综合就是对这四种路径进行加约束,综合电路以满足这些约束条件。 1.24 reference 是指什么? 和cell 有什么区别? 当存在一个模块被多次例化,那么该模块就称为reference 1.25 如何读入一个design? 使用analyze + elaborate 或者 read_verilog、read -f verilog、 read_vhdl、read_file 命令。 1.26 analyze+ elaborate 和 read 命令有什么区别? read_file 是可以读取任何synopsys支持格式的;analyze和eloborate 只支持verilog和VHDL两个格式,但是他们支持在中间过程中加入参数而且以便以后可以加快读取过程。

Encounter使用入门教程

Encounter使用入门教程 本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。 在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据: 时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib 物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv 时序约束文件:pad_counter.sdc IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件 还有其它一些文件在后面用到时进行介绍。 一、网表中添加PAD、编写IO Assignment File 这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。 这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena); input clk; input reset_n; input in_ena; output [3:0] cnt; output carry_ena; reg [3:0] cnt; reg carry_ena; always @(posedge clk or negedge reset_n) begin if(!reset_n) cnt<=4'b0; else if(in_ena && cnt==4'd10)

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

相关主题