搜档网
当前位置:搜档网 › 模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计 基础知识(笔试时候容易遇到的题目)
模拟电路设计 基础知识(笔试时候容易遇到的题目)

1.模拟电路设计基础知识(笔试时候容易遇到的题目) 1.最基本的如三极管曲线特性(太低极了点)

2.基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因

3.反馈之类,如:负反馈的优点(带宽变大)

4.频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法

5.锁相环电路组成,振荡器(比如用D触发器如何搭)

6.A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS 管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。2.数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1.画出fsm(有限状态机) 2.用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3.单片机、DSP、FPGA、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题DSP的结构(冯.诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4.信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h(n)的z变换 b.问该系统是否为稳定系统 c.写出 F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz' 用mos管搭出一个二输入与非门。用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如a量化误差b.直方图c.白平衡共同的注意点1.一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西搞明白;2.个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽量介绍其所关心的东西。3.其实技术面试并不难,但是由于很多东西都忘掉了,才觉得有些难。所以最好在面试前把该看的书看看。4.虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或责骂公司。5.面试时要take it easy,对越是自己钟情的公司越要这样。1.集成电路设计前端流程及工具。2。FPGA和ASIC的概念,他们的区别3。LATCH和DFF的概念和区别4。用DFF实现二分频。5。用VERILOG或VHDL写一段代码,实现消除一个glitch 6。给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)7。用VERILOG或VHDL写一段代码,实现10进制计数器。8。给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。9。A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限1. 可参考各EDA厂商的开发工具2. FPGA与ASIC的可参阅各种EDA相关书籍。3. LATC是H锁存器,DFF是触发器,其电路形式完全不同。4. always @(posedge clk) if (reset) begin sel <= 1; clk1 <= 1; clk2 <= 1; end else begin sel <= ~sel; if (sel) clk1 <= ~clk1; else clk2 <= ~clk2; end 5. glitch主要发生在组合逻辑电路输出,可以加DFF输出稳定信号6,7,8,9:。。。制。2.负数与正数相乘的问题3.1010(-6)*0010(2)用补码相乘时应该进行相应的符号扩展,比如

上面是4bit相乘,结果应该为8bit。这样符号扩展后分别为11111010和00000010,然后再用这两个数直接相乘,结果为111110100,取其低8位11110100,作为-6*2的结果。这也是个补码形式,再判断一下高位恢复为原码,得到结果。以前讨论过相关问题,可以翻出来看看检测信号的上升沿LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sync IS PORT(clk: IN STD_LOGIC; rst: IN STD_LOGIC; sync_in: IN STD_LOGIC; sync_out: OUT STD_LOGIC); END sync; ARCHITECTURE arch OF sync IS SIGNAL sync_int1, sync_int2: STD_LOGIC; BEGIN --------------------------------------------------------- PROCESS(clk, rst, sync_in) BEGIN IF rst='0' THEN sync_out<='0'; ELSIF rising_edge(clk) THEN sync_int1<=sync_in; sync_int2<=sync_int1; IF (sync_int1='1' AND sync_int2='0') THEN sync_out<='1'; ELSE sync_out<='0'; END IF; END IF; END PROCESS; END arch; 并入串出?library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity piso is port( datain :in std_logic_vector(39 downto 0); clk : in std_logic; nLoad:in std_logic; data_out: out std_logic); end piso; architecture Behavioral of piso is signal q: std_logic_vector(39 downto 0); begin process (nLoad,clk) begin if nLoad= '0' then q<=datain; elsif clk'event and clk='1' then q(1)<=q(0); for i in 1 to 39 loop q(i)<=q(i-1); end loop; end if; end process; process (nLoad,clk) begin if nLoad='0' then data_out<='0'; elsif clk'event and clk='1' then data_out <=q(39); end if; end process; end Behavioral; 把所有的39改成15就可以了有一些基本概念我可能还不太清楚,说错的地方,请大家批评指教。我要设计的是is-95cdma系统的48阶fir滤波器。输入串行数据(每个clk输入1位)输入数据宽度为1位,系数h[0]=-0.025288315(十进制)=111111_1111100111(16位二进制,_为小数点位置,_前面是符号扩展),-h[0]=+0.025288315(十进制)000000_0000011001(16位二进制)。其他系数略。我用的是映射的方法,即不用乘法,只对应由查找表查出的结果,输入1时,映射为+1,查表输出h[0],输入0时,映射为-1,查表输出-h[0]。再送入加法器求48个系数的和。系数宽度16位,输出宽度22位(防溢出)datain=1 ,dataout=16116a(十六进制)=0101100001000101101010(22位二进制)这是48个正系数的和(这里的正系数不一定全是正数,而只是标准,所以把它看作“正数”)datain=0 ,dataout=19ee96(十六进制)=0110011110111010010110(22位二进制)这是48个负系数的和。这个结果我对照十进制的数运算结果验证了一下,是对的,但是只能取22位的后16位来计算,因为高位的都是加法的进位溢出。我主要由两个问题:1)这种映射法,恕我愚钝,根本没明白(我也是看的论文,但没有明白其真正含义),因为它和普通的比如m位输入和n位的系数相乘得到m+n位的结果,思路完全不一样。这个1,0映射为+1,-1,和普通的输入(m位二进制数代表一个x(n))有何关系?我的到16116a,19ee96这些结果还要累加吗?(此问题可能比较愚蠢,欢迎扔鸡蛋)2)我运算的是有符号数,那溢出的这些多出的位怎么处理?因为下一步这些数据还要送到下一个模块处理。保留的话,多出的哪些位显然没用。扔掉的话,行吗?学了FOR LOOP的用法以后,有点想法那么在此基础上怎么实现并口的输出呢? 如: Q(0)<=DIN; FOR I IN 1 DOWNTO 7 LOOP Q(I)<=Q(I-1); END LOOP; OP<=Q; OP 定义为STD_LOGIC_vector(7 downto 0) 我总感觉每次触发输出的都是在Q(7)口,而且该值就是DIN在8个周期前的值那么要是想实现DIN 8个连续数据的同时输出,应该怎么做? 感觉每次触发输出的都是在Q(7)口这句话不是很明白。反正这样的转换很快的,只要有触发,马上可以完成分析如下: q(0)->q(1),然后q(1)->q(2),然后q(2)->q(3)........q(6)->q(7) 实际上只是延迟了几个周期,也就是q(7)<=din, 并没有实现串行向并行的转换不大理解用这个for loop语句如何实现串并转换的望告知把Q(0)<=DIN; FOR I IN 1 DOWNTO 7 LOOP Q(I)<=Q(I-1); END LOOP; 放在进程里面就可以。同志,做cpld/fpga设计的时候需要记住一点,verilog是硬件设计而不是软件编程,所以,做好不要

使用for循环这样的语句,太浪费资源了!思考的时候要学会使用DFF这应该是个移位寄存的电路,也是DIN 8个连续数据的同时输出,只不过是移位1次,就并处一次。其实隔8个周期看一下输出,就是你要的结果了。真要串入8位,并出1次,要一个计数器或状态机也行啊去构建自己想要的电路!这是我写的,5分频的,改变计数器,可以是别的奇数分频library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity div3 is Port ( clk : in std_logic; rst : in std_logic; clk3: out std_logic); end div3; architecture Behavioral of div3 is signal c0 : std_logic; signal c1 : std_logic; signal cnt : std_logic_vector(2 downto 0); begin cnt_gen:process(clk,rst) begin if rst ='1' then cnt <= "100"; c0 <= '0'; c1 <= '0'; elsif clk'event and clk='1' then if cnt /= 4 then cnt <= cnt+1; elsif cnt=4 then cnt <= (others=>'0'); end if; if cnt=4 then c0 <= '1'; elsif cnt=2 then c0 <= '0'; end if; end if; end process; process(clk,rst) begin if rst ='1' then c1 <= '0'; elsif clk'event and clk='0' then if cnt=4 then c1 <='1'; elsif cnt=2 then c1 <= '0'; end if; end if; end process; clk3 <= c0 and c1; end Behavioral; c0,c1一个在时钟的上升沿变化,一个在下降沿变化,所以两个会有半个周期的差,and以后就可以得到想要得分频了。你可以仿真波形看看两个时钟,一个为64K 的时钟,一个为2.048M时钟,怎样检测64K时钟的上升沿?既检测到64K的时钟的上升沿就产生一个控制信号。怎样做?做出来你也能进UT....... 这跟检测信号的变化有什么区别吗?设64K时钟为信号,速率X2=128K 采样时钟128K*4=512K(有2.048M更好了)加1状态机就可以了!如果要求产生的控制信号与64K时钟上升沿对齐的话,就有点麻烦了!-- There is rising edge of clk64k detected when Ctrl signal is high. library IEEE; use IEEE.std_logic_1164.all; entity det is port( rst: in std_logic; clk64k: in std_logic; clk2m: in std_logic; ctrl: out std_logic); end det; architecture behv of det is signal clk64k_q1: std_logic; signal clk64k_q2: std_logic; begin process(rst,clk2m) begin if(rst='0') then clk64k_q1<= '0'; clk64k_q2<= '0'; elsif(clk2m'event and clk2m='1') then clk64k_q1<= clk64k; clk64k_q2<= clk64k_q1; end if; end process; ctrl<= '1' when clk64k_q1='1' and clk64k_q2='0' else '0'; end behv;

2.048MHz/64K=32 其实就是检测64KHz信号上升沿位于上述32象限的哪个,当然也就是可以用状态机(2MHz)实现;当然也不是需要32个register才能实现的,因为在32次检测过程中只有16个连续的0或1,那么也只需2个register保存状态就可以了。既然能检测到64KHz信号的变化,那么剩下的事情就不难了,无非就是对该状态信号作一定处理,如要2M脉宽,上面便是,如要与64K上升沿同步,那就将2M脉宽同64K信号作些处理。建议用状态机FSM来实现,这是由于一旦检测到符号要求的信号,那么剩下的处理就会比较简单,都是利用该信号作进一步的处理--至少前面的FSM将这个变化(我认为变化比边沿合适)是确定的。---扯远了!呵呵,刚在别的版块发了个关于按键去抖动的帖子是VHDL的可以参考下signal a0,a1; process(clk) begin if(clk'event and clk='1') then a1<=a0; a0<=key; ---key 为按键信号end if; end process; process(a0,a1) begin keyout<=key and a0 and (not a1); --keyout即为所要得到的单脉冲end process; ****************************************************************** 分频技术几点****************************************************************** 10.1分频9次10分频和1次11分频因为(9×10+1×11)/(9+1)=10.1 ****************************************************************** 实例:用于实现N-0.5分频****************************************************************** begin -------异或门clk <= inclk xor divide2; --------inclk(输入时钟) -------模N减法计数器process(clk) if (clk'event and clk='1') then if (count="0000") then count <= present-1; --------outclk(输出时钟) ,present 预置分频值,即N值outclk <= '1'; else count <= count-1; outclk <= '0'; end if; end if ; end process; ------2分频器process(outclk) begin if (outclk'event and

outclk='1') then divide2 <= not divide2; end if; end process; ****************************************************************** 3分频实例: ****************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY div3 IS PORT ( clk_in :in std_logic; clk_out :out std_logic); END div3; ARCHITECTURE behav OF div3 IS SIGNAL clk1,clk2:std_logic_vector(1 DOWNTO 0); BEGIN PROCESS1:PROCESS(clk_in) BEGIN IF clk_in'event AND clk_in='1' THEN CASE clk1 is WHEN "00" => clk1 <= "01"; WHEN "01" => clk1 <= "11"; WHEN "11" => clk1 <= "00"; WHEN OTHERS => clk1 <= "00"; END CASE; END IF; END PROCESS PROCESS1; PROCESS2:PROCESS(clk_in) BEGIN IF clk_in'event AND clk_in='0' THEN CASE clk2 IS WHEN "00" => clk2 <= "01"; WHEN "01" => clk2 <= "11"; WHEN "11" => clk2 <= "00"; WHEN OTHERS => clk2 <= "00"; END CASE; END IF; END PROCESS PROCESS2; clk_out <= '1' WHEN(clk1 AND clk2)=0 ELSE '0'; END behav 1。集成电路设计前端流程及工具。2。FPGA和ASIC的概念,他们的区别3。LATCH 和DFF的概念和区别4。用DFF实现二分频。5。用VERILOG或VHDL写一段代码,实现消除一个glitch 6。给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)7。用VERILOG或VHDL写一段代码,实现10进制计数器。8。给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。9。A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E 中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制

硬件电路设计基础知识

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物)

二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏)

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

经典模拟、数字电路设计

实验一 单级阻容耦合放大器设计 一、设计任务书 1.已知条件 电源电压V cc =+12V,信号源U s =10mV,内阻R s =600Ω,负载R L =2k Ω。 2.主要技术指标 输入电阻R i >2k Ω,频率响应20Hz ~500kHz,输出电压U o ≥0.3V,输出电阻R O <5k Ω,电路工作稳定。 3.实验用仪器 双踪示波器一台,信号发生器一台,直流稳压电源一台,万用表一台。 二、电路设计 1.电路形式讨论 由于电压增益A V =U O /U S =30,采用一级放大电路即可,要求电路工作稳定,采用分压式电流负反馈偏置电路,输入电阻比较大和频率响应比较宽,引入一定的串联负反馈,电路如图。 2.具体电路设计 (1)静态工作点选择 I CQ =2mA,V BQ =3V (选择硅管) (2)晶体管的选择 78) (2 =+=L s i V R R R A β取100, U CEO >V CC =12V,I CM >2I CQ =4mA, P CM >I CQ V CC =24mW, f T >1.5βf H =75MHz 选择9014:U CEO >20V,I CM >100mA, P CM >300mW,f T >80MHz,Cb'c<2.5pF (3)元件参数的计算 R E =(V BQ -0.7)/I CQ ≈1.2k Ω I BQ =I CQ /β=20μA 则 Ω== k I V R BQ BQ B 15102,R B2=15k Ω Ω=-= k I V V R BQ BQ CC B 45101,取标称值47k Ω Ω≈++=k mA I mV r EQ be 6.1) (26) 1(300β, 取R F =10Ω.则Ω=++=k R r R F be i 16.2)1('β Ω==k R R R R i B B i 12.2////'21,取A V =40,

电路硬件设计基础

1.1电路硬件设计基础 1.1.1电路设计 硬件电路设计原理 嵌入式系统的硬件设计主要分3个步骤:设计电路原理图、生成网络表、设计印制电路板,如下图所示。 图1-1硬件设计的3个步骤 进行硬件设计开发,首先要进行原理图设计,需要将一个个元器件按一定的逻辑关系连接起来。设计一个原理图的元件来源是“原理图库”,除了元件库外还可以由用户自己增加建立新的元件,用户可以用这些元件来实现所要设计产品的逻辑功能。例如利用Protel 中的画线、总线等工具,将电路中具有电气意义的导线、符号和标识根据设计要求连接起来,构成一个完整的原理图。 原理图设计完成后要进行网络表输出。网络表是电路原理设计和印制电路板设计中的一个桥梁,它是设计工具软件自动布线的灵魂,可以从原理图中生成,也可以从印制电路板图中提取。常见的原理图输入工具都具有Verilog/VHDL网络表生成功能,这些网络表包含所有的元件及元件之间的网络连接关系。 原理图设计完成后就可进行印制电路板设计。进行印制电路板设计时,可以利用Protel 提供的包括自动布线、各种设计规则的确定、叠层的设计、布线方式的设计、信号完整性设计等强大的布线功能,完成复杂的印制电路板设计,达到系统的准确性、功能性、可靠性设计。 电路设计方法(有效步骤) 电路原理图设计不仅是整个电路设计的第一步,也是电路设计的基础。由于以后的设计工作都是以此为基础,因此电路原理图的好坏直接影响到以后的设计工作。电路原理图的具体设计步骤,如图所示。

图1-2原理图设计流程图 (1)建立元件库中没有的库元件 元件库中保存的元件只有常用元件。设计者在设计时首先碰到的问题往往就是库中没有原理图中的部分元件。这时设计者只有利用设计软件提供的元件编辑功能建立新的库元件,然后才能进行原理图设计。 当采用片上系统的设计方法时,系统电路是针对封装的引脚关系图,与传统的设计方法中采用逻辑关系的库元件不同。 (2)设置图纸属性 设计者根据实际电路的复杂程度设置图纸大小和类型。图纸属性的设置过程实际上是建立设计平台的过程。设计者只有设置好这个工作平台,才能够在上面设计符合要求的电路图。 (3)放置元件 在这个阶段,设计者根据原理图的需要,将元件从元件库中取出放置到图纸上,并根据原理图的需要进行调整,修改位置,对元件的编号、封装进行设置等,为下一步的工作打下基础。 (4)原理图布线 在这个阶段,设计者根据原理图的需要,利用设计软件提供的各种工具和指令进行布线,将工作平面上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 (5)检查与校对 在该阶段,设计者利用设计软件提供的各种检测功能对所绘制的原理图进行检查与校对,以保证原理图符合电气规则,同时还应力求做到布局美观。这个过程包括校对元件、导线位置调整以及更改元件的属性等。 (6)电路分析与仿真 这一步,设计者利用原理图仿真软件或设计软件提供的强大的电路仿真功能,对原理图的性能指标进行仿真,使设计者在原理图中就能对自己设计的电路性能指标进行观察、测试,从而避免前期问题后移,造成不必要的返工。

模拟电路基础 教案

教师教案(2011—2012学年第一学期) 课程名称:模拟电路基础 授课学时:64学时 授课班级:20XX级光电2-4专业任课教师:钟建 教师职称:副教授 教师所在学院:光电信息学院 电子科技大学教务处

第1章半导体材料及二极管(讲授8学时+综合训练2学时) 一、教学内容及要求(按节或知识点分配学时,要求反映知识的深度、广度,对知识点的掌握程度(了解、理解、掌握、灵活运用),技能训练、能力培养的要求等) 1.1 半导体材料及其特性:理解并掌握本征半导体与杂质半导体(P型与N 型)的导电原理,本征激发与复合、多子与少子、漂移电流与扩散电流的区别;理解并掌握PN结的形成原理(耗尽层、空间电荷区和势垒区的含义);理解PN 结的单向导电特性与电容效应。(2学时) 1.2 PN结原理:PN结的形成:耗尽层、空间电荷区和势垒区的含义,PN结的单向导电特性,不对称PN结。(2学时) 1.3 晶体二极管及应用:理解并掌握二极管单向导电原理及二极管伏安特性方程;理解二极管特性随温度变化的机理;理解并掌握二极管的四种等效电路及选用原则与区别;理解并掌握二极管主要参数;了解不同种类二极管区别(原理),了解硅管与锗管的区别;理解稳压二极管的工作原理。(4学时) 二、教学重点、难点及解决办法(分别列出教学重点、难点,包括教学方式、教 学手段的选择及教学过程中应注意的问题;哪些内容要深化,那些内容要拓宽等等) 重点:半导体材料及导电特性,PN结原理,二极管单向导电特性及二极管方程,二极管伏安特性曲线及其温度特性。 难点:晶体二极管及应用,PN结的反向击穿及应用。 三、教学设计(如何讲授本章内容,尤其是重点、难点内容的设计、构思) 重点讲解二极管的单向导电性,二极管单向导电特性及二极管方程,二极管伏安特性曲线及其温度特性,二极管导通电压与反向饱和电流,二极管的直流电阻与交流电阻。反向击穿应用:设计基本稳压管及电路。

硬件基础知识

第三章硬件基础知识学习 通过上一课的学习,我们貌似成功的点亮了一个LED小灯,但是还有一些知识大家还没有 彻底明白。单片机是根据硬件电路图的设计来写代码的,所以我们不仅仅要学习编程知识,还有硬件知识,也要进一步的学习,这节课我们就要来穿插介绍电路硬件知识。 3.1 电磁干扰EMI 第一个知识点,去耦电容的应用,那首先要介绍一下去耦电容的应用背景,这个背景就是电磁干扰,也就是传说中的EMI。 1、冬天的时候,尤其是空气比较干燥的内陆城市,很多朋友都有这样的经历,手触碰到电脑外壳、铁柜子等物品的时候会被电击,实际上这就是“静电放电”现象,也称之为ESD。 2、不知道有没有同学有这样的经历,早期我们使用电钻这种电机设备,并且同时在听收音机或者看电视的时候,收音机或者电视会出现杂音,这就是“快速瞬间群脉冲”的效果,也称之为EFT。 3、以前的老电脑,有的性能不是很好,带电热插拔优盘、移动硬盘等外围设备的时候,内部会产生一个百万分之一秒的电源切换,直接导致电脑出现蓝屏或者重启现象,就是热插拔的“浪涌”效果,称之为Surge... ... 电磁干扰的内容有很多,我们这里不能一一列举,但是有些内容非常重要,后边我们要一点点的了解。这些问题大家不要认为是小问题,比如一个简单的静电放电,我们用手能感觉到的静电,可能已经达到3KV以上,如果用眼睛能看得到的,至少是5KV了,只是因为 这个电压虽然很高,电量却很小,因此不会对人体造成伤害。但是我们应用的这些半导体元器件就不一样了,一旦瞬间电压过高,就有可能造成器件的损坏。而且,即使不损坏,在2、3里边介绍的两种现象,也严重干扰到我们正常使用电子设备了。 基于以上的这些问题,就诞生了电磁兼容(EMC)这个名词。这节课我们仅仅讲一下去耦

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

模拟电路课程设计..

模拟电子技术课程设计任务书 一、课程设计的任务 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《模拟电子技术》中所学的理论知识和实验技能,掌握常用模拟电路的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 二、课程设计的基本要求 1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、安装电路、调试改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的生产流程及安装、布线、焊接等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

三、课程设计任务 课题4 逻辑信号电平测试器的设计 (一)设计目的 1、学习逻辑信号电平测试器的设计方法; 2、掌握其各单元电路的设计与测试方法; 3、进一步熟悉电子线路系统的装调技术。 (二)设计要求和技术指标 在检修数字集成电路组成的设备时,经常需要使用万用表和示波器对电路中的故障部位的高低电平进行测量,以便分析故障原因。使用这些仪器能较准确地测出被测点信号电平的高低和被测信号的周期,但使用者必须一面用眼睛看着万用表的表盘或者示波器的屏幕,一面寻找测试点,因此使用起来很不方便。 本课题所设计的仪器采用声音来表示被测信号的逻辑状态,高电平和低电平分别用不同声调的声音来表示,使用者无须分神去看万用表的表盘或示波器的荧光屏。 1、技术指标: (1)测量范围:低电平<1V,高电平>3V; (2)用1.5KH Z的音响表示被测信号为高电平; (3)用500H Z的音响表示被测信号为低电平;

模拟电子技术电路设计

一、课程设计目的 1通过课程设计了解模拟电路基本设计方法以及对电路图进行仿真,加深对所学理论知识的理解。 2通过解决比较简单的电路图,巩固在课堂上所学的知识和实验技能。 3综合运用学过的知识,并查找资料,选择、论证方案,完成电路设计并进行仿真,分析结果,撰写报告等工作。 4 使学生初步掌握模拟电子技术电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力。 二、方案论证 2.1设计思路 一般来说,正弦波振荡电路应该具有以下四个组成部分: 1.放大电路 2.反馈网络 3.选频网络 4.稳幅环节 其中放大电路和反网络构成正反馈系统,共同满足条件1=? ? F A 选频网络的作用是实现单一频率的正弦波振荡。稳幅环节的作用是使振荡幅度达到稳定,通常可以利用放大元件的非线形特性来实现。 如果正弦波振荡电路的选频网络由电阻和电容元件组成,通常成为RC振荡电路。 2.2工作原理

1.电路组成 振荡电路的电路图如2.3原理图所示。其中集成运放A 工作在放大电路,RC 串并联网络是选频网络,而且,当 f f o = 时,它是一个接成正反馈的反馈 网络。另外,R f 和R ' 支路引入一个负反馈。由原理图可见 RC 串并联网络中的串联支路和并联支路,以及负反馈支路中的R F 和R ' ,正好组成一个电桥的四个臂,所以又称文氏电桥振荡电路。 2.振荡频率和起振条件 (1)振荡频率 为了判断电路是否满足产生振荡的相位平衡条件,可假设在集成运放的同相输入端将电路断开,并加上输入电压? Ui 。由于输入电压加在同相输入端,故集成运放的输出电压与输入电压同相,即0=A ?已经知道,当 f f o = 时,RC

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计基础知识(笔试时候容易遇到的 题目) 1、最基本的如三极管曲线特性(太低极了点) 2、基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因 3、反馈之类,如:负反馈的优点(带宽变大) 4、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法 5、锁相环电路组成,振荡器(比如用D触发器如何搭) 6、A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究 ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。 2、数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货

机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1、画出fsm(有限状态机)2、用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3、单片机、DSP、FPG A、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题 DSP的结构(冯、诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类 (Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4、信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如、h(n)=-a*h(n-1)+b*δ(n) a、求h(n)的z变换 b、问该系统是否为稳定系统 c、写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz 用mos管搭出一个二输入与非门。 用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。 名词解释,无聊的外文缩写罢了,比如PCI、EC C、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换) 或者是中文的,比如 a量化误差 b、直方图 c、白平衡共同的注

模拟电子技术课程设计报告

课程设计报告 题目方波、三角波、正弦波信号 发生器设计 课程名称模拟电子技术课程设计 院部名称机电工程学院 专业10自动化 班级10自动化 学生姓名吉钰源 学号1004104001 课程设计地点 C206 课程设计学时 1周 指导教师赵国树 金陵科技学院教务处制成绩

目录 1、绪论 (3) 1.1相关背景知识 (3) 1.2课程设计目的 (3) 1.3课程设计的任务 (3) 1.4课程设计的技术指标 (3) 2、信号发生器的基本原理 (4) 2.1总体设计思路 (4) 2.2原理框图 (4) 3、各组成部分的工作原理 (5) 3.1 正弦波产生电路 (5) 3.1.1正弦波产生电路 (5) 3.1.2正弦波产生电路的工作原理 (6) 3.2 正弦波到方波转换电路 (7) 3.2.1正弦波到方波转换电路图 (7) 3.2.2正弦波到方波转换电路的工作原理 (8) 3.3 方波到三角波转换电路 (9) 3.3.1方波到三角波转换电路图 (9) 3.3.2方波到三角波转换电路的工作原理 (10) 4、电路仿真结果 (11) 4.1正弦波产生电路的仿真结果 (11) 4.2 正弦波到方波转换电路的仿真结果 (11) 4.3方波到三角波转换电路的仿真结果 (13) 5、电路调试结果 (13) 5.1正弦波产生电路的调试结果 (13) 5.2正弦波到方波转换电路的调试结果 (14) 5.3方波到三角波转换电路的调试结果 (14) 6、设计结果分析与总结 (15)

1、绪论 1.1相关背景知识 由于物理学的重大突破,电子技术在20世纪取得了惊人的进步。特别是近50年来,微电子技术和其他高技术的飞速发展,致使农业、工业、科技和国防等领域发生了令人瞩目的变革。与此同时,电子技术也正在改变着人们日常生活。在电子技术中,信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,可以用于生产测试、仪器维修和实验室,还广泛使用在其它科技领域,如医学、教育、化学、通讯、地球物理学、工业控制、军事和宇航等。它是一种不可缺少的通用信号源。 1.2课程设计目的 通过本次课程设计所要达到的目的是:增进自己对模拟集成电路方面所学知识的理解,提高自己在模拟集成电路应用方面的技能,树立严谨的科学作风,培养自身综合运用理论知识解决实际问题的能力。通过电路设计初步掌握工程设计方法,逐步熟悉开展科学实践的程序和方法,为后续课程的学习和今后从事的实际工作提供引导性的背景知识,打下必要的基础。 1.3课程设计的任务 ①设计一个方波、三角波、正弦波函数发生器; ②能同时输出一定频率一定幅度的三种波形:正弦波、方波和三角波; ③用±12V电源供电; 先对课程设计任务进行分析,及根据参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。然后运用仿真软件Multisim对电路进行仿真,观察效果并与课题要求的性能指标作对比。仿真成功后,用实物搭建电路,进行调试,观测示波器输出的波形。 1.4课程设计的技术指标 ①设计、组装、调试信号发生器; ②输出波形:正弦波、方波、三角波; ③频率范围在10Hz~10000Hz范围内可调; ④比较器用LM339,运算放大器用LM324,双向稳压管用两个稳压管代替。

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

模拟电路设计方案书微积分电路设计方案书

模拟电路设计(九)微分、积分电路 作者:宇量文章来源:Internet 点击数:613 更新时间:2007-1-28 23:13:57 内容标题导览:|积分电路|OP增幅积分电路的误差|利用实验观察积分电路的动作|微分电 路| 本章节要介绍如何利用电阻与电容制作负归返电路,进行微分与积分的演算,由于积分电路几乎都是使用模拟电路,为了使工程人员对对微分与积分有更深入的了解,因此最后会复习相关基础理论。 积分电路 积分电路属于应用非常广泛的电路,而且积分电路几乎都是使用模拟电路。积分的运作可以使信号的变动平均化,同时降低杂信的影响。由于最近几乎不再使用OP增幅器单体的积分演算电路,因此接着要讨论的对象是以可将波形作A-D转换,同时还可将数字资料作积分的电路为主。 ?积分电路的概念 图1(a)是积分电路的基本概念,该电路的输出入特性可用下式表示: 通常V out(0) 的初期值会被视为0,不过实际动作时却往往无法忽略,这种情况必需使后述的积分电容短路,同时尽量使0 reset。若使用式(1)的符号重新整理,则输出入传达关数G(jω)可用下

示表示: 以上式子若作成图标就变成图1(b)的频率特性图,图中的积分电路的gain会与频率成反比,并以-6dB/oct速度变化,而位相则延迟900。 图1 积分电路的概念图与频率特性 ?利用CR的积分电路 图2(a)是CR积分电路,假设图2(b)输入信号V ST(step关数)时,输出V out就可用下示表示:

CR为具备时间次元的时定数(T)。图2(c)是时间与输出电压的反应特性,如果超过5T以上等待时间,输出电压几乎可说是与输入电压相同,本电路的输出入传达关数G(jω)如下所示: 图3的点线表示频率特性并非真实的积分电路,若要获得近似性积分动作,必需是在ω>1/CR 的前提下才能达成,具体方法是使ω>10/CR 。

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

《模拟电子技术基础》学习心得

《模拟电子技术基础》学习心得 自动化一班刘文杰20151506087 时间过得真快,为期一学期的《模拟电子技术基础》的学习就快结束了。还清晰记得开学初刚拿到这本书的时候,面对如此厚的一本书,彷佛感受到了今后学习的艰辛。刚开始接触时,感觉这门课真的很难,虽然时间花得比较多,但还是收效甚微。在后来的几个星期,我下定决心坚持预习,在自己的努力下,终于跟上了老师的思路和进度,收效与时间成正比。总的来说,感觉对这门课程的吸收还是比较理想的。 很高兴自己能够遇上如此负责的老师。每次上课听着老师亲切的声音和不间断的讲解,我都彷佛能够看到老师辛苦备课的情形。听了老师的课后,我对书中内容的了解更加清晰和深刻了,课后不用花很多时间来巩固,有种事半功倍的成就感。老师对作业的要求也使我获利不少,因为老师每次交作业后都会仔细讲解一番。我觉得这样自己能够更加积极主动地去对待作业,可以更加自由地支配作业、预习、巩固的时间,使时间的利用率最高。另一方面,我觉得老师不仅是传授我们知识,更是教我们如何做人,尤其是在守时和尊重人方面。要想获得他人的尊重,首先要学会尊重他人。 上完了这学期的《模拟电子技术基础》课,收获了很多,既扩充了自己的知识和思维,又懂得如何更加完善地做人。第一,掌握一些思考的方法,对待问题比较严谨。解决一个问题,应该选用正确的方法,否则将会很难甚至无法解决一

个问题。例如,在求不同组态负反馈的电压放大倍数时,不同组态有不同的方法,方法上必须要对应。对于同一问题的不同解法,尤其要注意方法的适用范围,在合适的范围内使用方法。例如,在用微变等效电路求解有关基本放大电路时,只有输入信号是低频小信号时才成立,否则会造成很大的误差甚至是错误。在分析一些比较复杂的问题时,要学会站在更高的层次看待问题,要学会模块化地分析问题而不局限于其中的每个元件。例如,在运算放大电路分析中,在掌握基本模块如反相比例运放、同相比例运放等的前提下,对一些较为复杂的电路,可利用叠加原理看成是这些基本模块的叠加,从而简化问题的分析。第二,对一些工程思想有了初步的认识。俗话说:人无完人。当然作为每个具体的电路,在具有优点的同时肯定具有缺点的。我们分析问题的时候,不能一味地钻牛角尖,幻想找到一个能够十全十美解决问题的方法。很多时候,我们可以根据实际的要求,作一些合理的近似。先主要考虑最主要因素的影响,而忽略一些次要因素的影响,然后再在主要因素主导的方向下,结合实际的要求考虑其他次要因素。这样做往往能很大程度上简化问题,但又不会产生很大的误差。最后,自己更加深刻体会到了守时的重要性。虽然我们不能驾驭时间,但时间观淡薄的人将不会有很大的成就。守时不是一种形式,而是一种态度! 黑夜中的船因有灯塔而不会迷失方向,而我坚信:《模拟电子技术基础》课上的收获,将使我终生受用。

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

硬件工程师必用20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及与电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。 1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。 1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次:

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

相关主题