搜档网
当前位置:搜档网 › 限时发言时间提示器 数字逻辑

限时发言时间提示器 数字逻辑

限时发言时间提示器 数字逻辑
限时发言时间提示器 数字逻辑

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

音乐提示定时器(1)

目录 第1章课程设计的要求、目的 (1) 1.1课程设计的要求 (1) 1.2课程设计的目的 (1) 第2章总体设计方案 (3) 2.1 总体方案的选择 (3) 2.2总体方案的说明 (3) 第3章系统方框图与工作原理 (5) 3.1系统设计框图 (5) 3.2 基本工作原理 (5) 第4章各单元硬件设计及说明 (7) 4.1单片机的选择 (7) 4.2复位电路的设计 (7) 4.3晶振电路的设计 (8) 4.4动态显示电路 (9) 4.5音乐播放电路 (9) 第5章器件说明 (10) 5.1 单片机 (10) 5.2 LED显示器 (11) 第6章软件设计与说明 (12) 6.1 定时显示子程序 (12) 6.2 系统软件设计方案的确定 (13) 6.3 音乐定时常数的确定 (13) 第7章调试步骤、结果、使用说明 (15) 第8章设计总结 (16) 附录A:程序清单 (20)

第1章课程设计的要求、目的 1.1 课程设计的要求 (1)设计要符合项目的工作原理,连线要正确,端了要不得有标号。选择合理的元件,电阻,电容等器件的参数要正确标明。原理图要完整,CPU,外围器件,扩器接口,输入/输出装置要一应俱全。 (2)音乐提示定时器要求通过设计4个按键设置现在想要倒数的时间:K1--可调整倒数时间为1-60分钟,能够实现时间可调;K2—设置倒数计时时间为5分钟,显示为“0500”;K3—设置倒数计时时间为10分钟,显示为“1000”;K4—设置倒数计时时间为20分钟,显示为“2000”;一旦按键后则开始倒计时,当计时为0则演奏一曲音乐,而且可循环播放,可随时人为停止;内定倒数计时时间为5分钟,显示为0500。 (3)设计合理的晶振电路,要求系统晶振频率为11.0592MHz,时间设计要求准确、可靠,要求时间随时可调,计时随时可中断。复位电路可靠、合理,可以实现对音乐播放、时间设定、调整时间的控制,满足方便、简捷的要求。要求系统能够发出准确的音乐,流畅、无杂音,可循环播放。数码显示要稳定,无闪烁,准确,与现实时间同步。 (4)写说明书。包括原理图设计说明,程序设计说明并画出工作原理图,程序流程图并给出程序清单。 1.2 课程设计的目的 课程设计的目的有: (1)加深对基础知识的理解,进一步熟悉和掌握单片机的结构及工作原理。 (2)掌握单片机的接口技术及相关外围芯片的外特性,控制方法。明确原理图中所使用的元器件功能及在图中的作用,各器件的工作过程及顺序。

如何看懂电路图【数字逻辑电路】

如何看懂电路图6--数字逻辑电路 2009-01-22 10:35 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是 TTL 电路还是 CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在 0 和 1 的数字组合内的,所以只要电路能明显地区分开 0 和 1 , 0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 ( 1 )门电路 门电路可以看成是数字逻辑电路中最简单的元件。目前有大量集成化产品可供选用。 最基本的门电路有 3 种:非门、与门和或门。非门就是反相器,它把输入的 0 信号变成 1 ,1 变成 0 。这种逻辑功能叫“非”,如果输入是 A ,输出写成 P=A 。与门有 2 个以上输入,它的功能是当输入都是 1 时,输出才是 1 。这种功能也叫逻辑乘,如果输入是 A 、 B ,输出写成P=A·B 。或门也有 2 个以上输入,它的功能是输入有一个 1 时,输出就是 1 。这种功能也叫逻辑加,输出就写成 P=A + B 。 把这三种基本门电路组合起来可以得到各种复合门电路,如与门加非门成与非门,或门加非门成或非门。图 1 是它们的图形符号和真值表。此外还有与或非门、异或门等等。

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

CPLD单片机定时器音乐播放器

基于CPLD与51单片机的定时器与音乐播放器 马大康 06007122 万树 06007113 覃朋 06007110 2009.12

一、系统简介 本系统利用CPLD 和51单片机联合实现了倒计时器和音乐播放器的功能。作 为《电子系统设计》的课程设计,本系统充分利用了两块开发板上的资源,并实 现了可编程器件与单片机之间的串口异步通信,器件连接方便,并有良好的人机 交互界面。 二、系统结构框图与思路 图2 CPLD 内部功能模块划分及连接 经过对两块开发板板上资源的对比,我们发现,CPLD 板上的资源较为丰富, 尤其是其8个独立按键和1602液晶屏,十分适合人机交互,因此我们选择用CPLD 开发板作为主控板。单片机板上拥有4个七段数码管,显示变化的数字十分方便, 可以构成1?60分钟可变初值的倒计时器。 由于之前的实验中已经实现了在CPLD 中嵌入简易的UART,因此可以利用 CPLD 上的8个拨码开关设定倒计时器的初值,并通过异步串行通信传输给单片 机。单片机上的拨码可以实现对倒计时器进行暂停、清零。 图1 系统结构框图

除此之外,由于CPLD板上已有蜂鸣器,可以播放预先存入的歌曲,并通过板上的其他按键控制音斥的暂停与继续播放。介于上述分析,设计的系统结构框图如图1所示,CPLD中功能模块的划分如图2所示。 操作流程: CPLD板上: Keyl->进入倒计时器模式,设定倒计时器初值(通过8个拨码),液晶屏上显示u D-counter Set time needed M。 Key2-〉发送倒计时器初值到单片机,单片机开始倒计时,液晶屏上显示“ D-counter D-countering n Key3->进入音乐盒模式,播放音乐《喀秋莎》。 Key4->暂停音圧播放 单片机板上: 在倒讣时过程中,将拨码开关1向上拨,倒计时暂停,向下拨后继续倒计时。 在倒计时过程中,按下CPLD板上的Keyl后(此时为设定初值模式),将拨码开关2向上拨,倒计时器清零,此时可对其重新置初值。 三、功能模块的实现 1、异步串行发送模块 想要在CPLD中嵌入异步吊行通信模块,就必须对异步吊行通信协议有深入的理解,由于系统中只用到了发送模块,这里仅对发送模块的设计做介绍。 在空闲时,发送1。当发送使能WR为高电平且发送缓冲寄存器为高电平时, 向发送缓冲寄存器中写入待发送的数据。当发送移位寄存器为空时,将发送缓冲寄存器中的值置入发送移位寄存器,并将TxDone变为低电平,表示发送一个字节的周期开始,此时不能向发送寄存器中置数。发送周期开始首先发送一个低电平作为起始位,接着从数据的低位开始发送数据,发送结束后发送高电平作为结束位,并将TxDone变为高电平,表示发送一个字节结束。 整个模块的状态图如图3所示。 图3

数字电路与数字逻辑练习题

一、填空 1. 数制变换: a) 将十进制数175转换成二进制数为_____ 、十六进制为_____ 、八进制为 __ 。 b) 二进制数(111010010)2对应的十六进制数是_____ 、八进制为—、十进制为 c) ( 16.52)8=( )2 =( )16= ( ) 10 d) ( 17)10=( ) 2 =( )16=( )8 2.编码: a) ( 1000)自然二进制码=( ) 余3码,(110100)2=( )BCD。 b) ( 15.5)10=( )8421BCH( )余3 BCD。 c) ( 38) 10用8421BCD码表示为 ____ 。 d) 二进制数(-100000)的原码为 _、补码为___。 e) [X]反=10111,则[X]补=—,[X]原= ___________ ,[X]真值= 。 g) [X]补=10110,则[X]反=—,[X]原= __ ,[X]真值= _ 。 3. 一种进位计数包含两个基本因素:______ 和____ 。 4. 常见的BCD编码中,有权码有____ 、___ ,无权码有___ 、___ 。 5. 如采用奇偶校验传送的数据部分为0111001,则所加奇校验位应为_____ ,偶校验位 应为_____ 。 6. 逻辑代数的基本运算有:___、___、___。 7. 当决定一事件的条件中,只要具备一个条件,事件就会发生,称这种关系为 逻辑关系,或称为关系。 8. ______________________________________________________ 真值表如下表,写出F1、F2、F3、F4的逻辑关系表达式______________________________ 9. _________________________________________ 逻辑函数F = A + AB以最小项形式表示为__________________________________________ ,可化简为______ 10.逻辑函数F =

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

课程设计(论文)_基于单片机音乐倒数定时器的设计

目录 一、设计要求 (1) 二、设计目的 (1) 三、设计的具体实现 (2) 1系统概述 (2) 2单元电路设计 (3) 3软件程设计和调试 (7) 四、结论和展望 (24) 五、心得体会及建议 (25) 六、附录 (26) 七、参考文献 (27)

基于单片机音乐倒数定时器的设计 报告 一、设计要求 利用单片机结合LCD显示器设计一个倒数计时器,可以放在家中使用,例如煮方便面、煮开水或小睡片刻等,做一小段时间计时。当倒数计时为0时,则发出一段音乐,通知倒数终了,该做重要的事情了。 具体要求: ①文字型LCD(16*2)显示目前倒数的时间;显示格式为“TIME 分分:秒秒”;具有4个按键操作来设置现在想要倒数的时间;一旦按键后则开始倒数计时,当计时为零则发出一阵音乐声响,②程序执行后工作指示灯LED闪动,表示程序开始执行,七段显示器显示“0500”,按下操作键K1~K4动作如下:操作键K1,可调整倒数时间1min~60min;操作键K2,设置倒数计时时间为5min,显示“0500”;操作键K3,设置倒数计时时间为10min,显示“1000”;操作键K4,设置倒数计时时间为20min,显示“2000”,③RESET 后按下K1显示如下: UP DOWN OK TIME 04:59 倒数时间 操作键K2:增加倒数计时1min;操作键K3,减少倒数计时1min,操作键K4:设置完成。④一旦按键后则开始倒数计时,当计时为 0时则发出一段音乐,同时继电器启动 二、设计目的 1、通过该设计能提高学生分析解决问题的能力。 2、了解模拟电路及数字电路的相关知识。 3、学习单片机定时器时间计时处理、按键扫描、LCD显示及音乐旋律演奏的设计方法。

单片机课程设计音乐闹钟定时器

目录: 0、任务书 (2) 1、系统总体设计方案规划与选定 (2) 2、硬件设计 (5) 3、软件设计 (6) 4、调试 (8) 5、新增功能及实现方法 (8) 6、小结与体会 (9) 7、参考文献 (9) 8、附录 (10)

0.任务书 基于51单片机设计一个电子数字钟,显示时、分、秒,且具有闹钟功能。用8255接口实现4*8键盘及8位LED显示。 32个键:0~9共10个键,调时(设置当前时间)键;设定闹钟(定时)键;走时键;光标左右移动各一个键。 要求键复位后,应该最后面的LED上显示H(待命状态)。 1. 系统总体设计方案规划与选定 1.1主控制芯片选择 方案一:采用ARM微处理,做主控芯片,计算速度快,缺点;成本高,控制较复杂,不容易焊接。 方案二:采用80C51单片机做主控制器,由单片机来完成采集和信号处理等底层的核心计算,做主控芯片,成本低,易控制,易实现。 经过以上两个方案比较,在此题方案二明显优于方案一,故采用80C51单片机做主控制器。 1.2定时模块选择 方案一:采用时钟芯片DS1302。 DS1302 可以用于数据记录,特别是对某些具有特殊意义的数据点的记录,能实现数据与出现该数据的时间同时记录,且较单片机计时简单节约硬件资源,但存在时钟精度不高,易受环境影响,出现时钟混乱等缺点。 方案二:采用单片机内部的定时系统,外接晶振进行分频脉冲计数。

此系统采用12MHz晶振。 由于方案二使用简单,比方案一更适用该系统设计,所以选择方案二。 1.3 LED显示及计时模块选择 方案一:74LS192计数器——74LS47七点显示译码器 74LS192芯片是一块可预置数可逆计数芯片,功能强大。将74LS192芯片CPU引脚接高电平可实现减法计数,以倒计时显示。可通过74LS47与LED共阳极数字显示器配合使用。 方案二:使用移位寄存器74HC595与译码器相连 74HC595具有8位移位寄存器和一个存储器,使用时可直接与数字显示器相连。 方案三:使用8255扩展LED显示计时模块 8255是一个可编程并行接口芯片,有一个控制口和三个8位数据口,外设通过数据口与单片机进行数据通信,各数据口的工作方式和数据传送方向是通过用户对控制口写控制字控制的。我们用到了A与B口分别进行对数码显示管的片选和段选,且B口同时作为键盘扫描模块的输入口,与数码显示模块分时复用。故采用方案三 1.4蜂鸣器的选择 方案一:电磁式蜂鸣器 电磁式蜂鸣器主要是利用通电导体会产生磁场的特性,用一个固定的永久磁铁与通电导体产生磁力推动固定在线圈上的鼓膜。电磁式由于音色好,所以多用于语音、音乐等设备。对于不同提示音且考虑实际,此种较好。 方案二: 压电式蜂鸣器

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的 次 序 排 列 ( ) > ( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数F '是__________________________;其反函数F 是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项

=+j i M M ___________。 9.()10=(_________)2=(_________)16。 10.n 个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ( )D (11.101)B = ( )D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+)=( )真值 = ( )原码 =( )反码 = ( )补码 13.把下列4个不同数制的数D 、(27A)H 、(10110)B 、(67)O 按从大到小的次序排列( )>( )>( )>( ) 。 14.对于D 触发器,欲使Qn+1=Qn ,输入D=( ),对于T 触发器,欲使Qn+1=Qn ,输入T=( ) 15.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 16.对32个地址进行译码,需要( )片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为( )。 18.将下列各式变换成最简与或式的形式 ( ) ( ) ( ) 19.五级触发器的进位模数最大为( )进制。 20.十进制数()10转换成十六进制数是( ),转换成二进制数是( ),转换成八进制数是( ),转换成8421BCD 码为( )。 21.将二进制1100110转换成余3码为( ),转换成格雷码为( )。 22.设真值X= —0101,则X 的原码为( ),反码为( ),补码为( )。 23.卡诺图是( )的一种特殊形式。利用卡诺图法花剑逻辑函数比( )法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC 的对偶式为:( )。 25.一个1024*16位的ROM 芯片,地址线为( )位,数据线为( )位。 26.对于JK 触发器,若J=K ,可完成( )触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的( )元件,它一般是由各种( )组合而成的。 28.对64个地址进行译码,需要( )片74138译码器。 +AC 化成最小项的形式为( )。 30.将变换成或非的形式为( )。 31.数制转换 10=( )2 2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码

数字逻辑与数字系统

数字逻辑与数字系统 课程设计 班级:计131 学号:201358501144 姓名:吴传文 指导教师:沙丽杰 2014年12月27~29日

目录 第一章功能简介 (2) 正常计时: (2) 整点报时: (2) 校时: (2) 暂停: (2) 清零: (2) 第二章总体结构框图 (2) 第三章各模块框图 (2) 第四章各模块VHDL程序 (3) 第五章仿真图 (9) 第六章下载检验 (10) 第七章心得体会 (10)

第一章功能简介 正常计时:秒(16)、分(16)、小时(12)计数;秒计时的频率为1Hz,数码管用动态扫描实时显示计时的秒、分、小时。 整点报时:逢整点蜂鸣器在“15”分钟的第11、13、秒发频率为512Hz的低音,在“15”分钟的第15秒发频率为1024Hz的高音。 校时:校小时(K1=1),显示小时数码管以4Hz的频率递增计数。 暂停:保持当前时间,暂态显示。 清零:清零当前时间,从零开始重新计时。 第二章总体结构框图 第三章各模块框图 1. 12和16进制框图 2. 动显及蜂鸣器

2 1 第四章各模块VHDL程序 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity fenpin1 is port(clk:instd_logic; clr:instd_logic; y:out std_logic_vector(1 downto 0); co:outstd_logic); end fenpin1; architecture fp1 of fenpin1 is signal q: std_logic_vector(1 downto 0); begin process(clk) begin if(clr='0') then q<="00"; co<='0'; elsif(clk'event and clk='1') then if(q="01") then q<="00"; co<='1'; else q<=q+1; co<='0'; end if; end if; y<=q;

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

单片机音乐倒数定时器的设计

1.基于单片机音乐倒数定时器的设计报告 2.【摘要】计时器在单片机模块中是比较常见的模块,计时器是一种用数字电路技术实现的计时装置, 与机械师中相比具有更高的准确性与直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。本设计采用AT89C51单片机对定时器的设计来实现一个简单的多功能的音乐倒计时报警器,通过三个按键可设定任意时间的倒计时报警器来满足用户的简单要求,如烧开水,煮面等简单问题。 3.【关键词】定时计数器;音乐代码;1602液晶显示

4............................................................ 目 录 5.引言 (1) 6.一、设计要求 (2) 7.二、设计目的 (2) 8.三、设计的具体实现 (2) 8.1.1.系统概述 (2) 8.1.1....................................................................................... 1.1 总体方案论证 (2) 8.1.2....................................................................................... 1.2 单片机选择方案 (3) 8.2.2.单元电路设计 (4) 8.2.1....................................................................................... 2.1 控制电路 (5) 8.2.2....................................................................................... 2.2 控制程序 (8) 8.3.3.软件程设计和调试 (7) 8.3.1....................................................................................... 3.1 延时时间的计算 (7) 8.3.2....................................................................................... 3.2 工作方式设计 (8)

加减法运算电路的课程设计

加减法运算电路的设计 一、设计任务 设计参数 设计一个一位十进制并行加(减)法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 设计要求 根据技术参数设计电原理图;计算并选择电路元件及参数;仿真调试电路。 二、设计方案 设计电路原理: 1、置入两个四位二进制数。例如(1011)2,(0011)2和(0111)2,(0110)2,同时在两个七段译码显示器上显示出对应的十进制数10,3和7,6 2、通过开关选择加(减)运算方式 3、若选择加运算方式所置数送入加法运算电路进行运算;若选择减运算方式,则所置数送入减法运算电路运算 4、前面所得结果通过另外两个七段译码器显示 即显示结果: 若选择加法运算方式,则(0011)2+(0110)2=(1010)2 十进制3+6=9 并在七段译码显示器上显示 9 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示 -3 设计电路运算方案: 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U15和U16分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B 的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U13来显示结果的十位,U12显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U12即可显示结果。 三、电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。 由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示。

数字电路与数字逻辑》期末考试及答案

《数字电路与数字逻辑》 期末考试试卷 考生注意:1.本试卷共有五道大题,满分100分。 2.考试时间90分钟。 3.卷面整洁,字迹工整。 一、填空题(每小题1分,共20分) 1.将下列二进制数转为十进制数 (1010001)B = ( )D ( 11 . 101 ) B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 ( +254.25 ( ( )原码 =( ) 反码 = ( )补码 3.把下列4个不同数制的数(76.125)D 、 (27A)H 、 (10110)B 、(67)O 按从大到小的次序排列( )> ( )>( )>( ) 。 4.对于D 触发器,欲使Q n+1=Q n , 输入D=( ),对于T 触发器,欲使Q n+1=Q n ,输入T=( ) 5.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 6. 对32个地址进行译码,需要 译码器。 0,256K*32 统的最高地址为 8.将下列各式变换成最简与或式的 形式 =+B A ( ) =+B A A ( ) = ++C B C A AB ( ) 9.五级触发器的进位模数最大为( )进制。 二、组合电路设计题(每空10分,共20分) 1.用八选一数据选择器74LS151实现逻 辑函数 AC BC AB C B A L ++=),,( (10分) 2、用74LS138设计一个电路 实现函数F = AB+ B C (提示:在74LS138的示意图上直接连线即可)(10分)

三、组合电路分析题(共10分) 已知逻辑电路如下图所示,分析该电路 的功能。 四、分析题(共24分) 1、分析如下的时序逻辑电路图,画出其 状态表和状态图,并画出Q1,Q2 的波形图,Q1Q2初态为00。(14 分) 2、电路如图所示,要求写出它们的输 出函数表达式,化简,并说出它们的逻 辑功能。(10分) 五、设计题(共26分) 1.用JK触发器设计一个“111”序列检 测器,允许重复,要求用一个输出信号 来表示检测结果。(16分) 2、试用74161设计一个同步十进制计数 器,要求采用两种不同的方法。(10分) 《数字电路与数字逻辑》期末 考试答案 一、填空 1、81, 3.625 3、(27A)H>(76.125)D>(67)O>(10110) B 4、Q n, 1 5、9, 8 6、4 7、(3FFF)H 8、B A A+ B AB+ C 9、32进制 二、组合逻辑设计题 1、(5分) F=C B A BC A C AB ABC+ + +=m3d3+ m5d5+m6d6+m7d7 (5分)则d3 d5 d6 d7为1,其他为0,画图略。 2、F= Y3 Y4Y5 Y7 三、组合逻辑分析题。 (5分)F=C B A⊕ ⊕ (5分)异或功能 四、时序电路 1、状态方程:(4分) Q Q Q Q Q Q D Q n n n n n n n K J 2 1 2 2 1 2 1 1 1 1 = + = = = + + 画波形图(2分) 2、L= = (4分); C 1 =AB+(A+B)C(4分); 全加器(2分) 五、 1、设计题

相关主题