搜档网
当前位置:搜档网 › IC测试原理-芯片测试原理

IC测试原理-芯片测试原理

IC测试原理-芯片测试原理
IC测试原理-芯片测试原理

IC测试原理-芯片测试原理

许伟达

(科利登系统有限公司)

1 引言

芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第二章。我们在第一章介绍了芯片测试的基本原理; 第二章讨论了怎么把这些基本原理应用到存储器和逻辑芯片的测试上;本文主要介绍混合信号芯片的测试; 接下来的第四章将会介绍射频/无线芯片的测试。

2 混合信号芯片测试基础

利用基于数字信号处理(DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括:

·由于能并行地进行参数测试,所以能减少测试时间;

·由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。

·由于拥有很多阵列处理函数,比如说求平均数等,这对混合信号测试非常有用

3 采样和重建

采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(ATE)依靠采样和重建给待测芯片(DUT)施加信号或者测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号质量上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有的信号损失。

我们周围物理世界的许多信号比如说声音波形,光强,温度,压力都是模拟的。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。

重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个类似数模转换器(DAC)一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。

4 混合信号测试介绍

最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),

能用数字信号调节输入信号的放大倍数;数模转换电路(D/As or DACs);

模数转换电路(A/Ds or ADCs);锁相环电路(PLLs),常用于生成高频基准时钟或者从异步数据中恢复同步时钟。

5 终端应用和测试考虑

许多混合信号的应用,比如说移动电话,硬盘驱动,调制解调器,马达控制以及多媒体音频/视频产品等,都使用了放大器,滤波器,开关,数模/模数转换以及其它专用模拟和数字电路等多种混合信号电路。尽管测试电路内部每个独立电路非常重要,同样系统级的测试也非常重要。系统级测试保证电路在整体上能满足终端应用的要求。为了测试大规模的混合信号电路,我们必须对该电路的终端应用有基本的了解。图3所示是数字移动电话的模块图,此系统拥有许多复杂的混合信号部件,是混合信号应用很好的一个例子。

6 基本的混合信号测试

直流参数测试

接触性测试(短路开路测试)用于保证测试仪到芯片接口板的所有电性连接正常。

漏电流测试是指测试模拟或数字芯片高阻输入管脚电流,或者是把输出管脚设置为高阻状态,再测量输出管脚上的电流。尽管芯片不同,漏电大小会不同,但在通常情况下,漏电流应该小于1uA。漏电流主要用于检测以下几种缺陷:芯片内部不同层之间的短路或者漏电,DC偏差或其他参数偏移等。这些缺陷最终会导致芯片不能正常工作。通常会进行两次漏电测试,第一次是给待测管脚施加高电压(和电源电压相近的电压),另一次是给待测管脚施加低电压(和芯片地点平相近的电压)。这两种测试分别称作高电平漏电流测试(IIH)和低电平漏电流测试(IIL)。

电源电流测试

测试芯片每个电源管脚消耗的电流是发现芯片是否存在灾难性缺陷的最快方法之一。每个电源管脚被设置为预定的电压,接下来用自动测试设备的参数测量单元测量这些电源管脚上的电流。这些测试一般在测试程序的开始进行,以快速有效地选出那些完全失效的芯片。电源测试也用于保证芯片的功耗能满足终端应用的要求。

7 DAC和ADC测试规格

DAC和ADC芯片必须满足一些特定的静态和动态参数规格。下一面一一介绍这些规格:

DAC静态参数规格

·最小刻度(Resolution)是指DAC输出端所能变化的最小值。

·满幅范围(FSR),是指DAC输出信号幅度的最大范围,不同的DAC有不同的FSR。该范围可以是正或负的电流,电压等模拟量。

·LSB大小是指输入代码变化最小数值时输出端模拟量的变化。

·差分非线性度(DNL)用于测量小信号非线性误差。计算方法:本输入代码和其前一输入代码之间模拟量的变化减去1LSB。

·单调性是指如果增加输入代码其输出模拟量也会保持相应的增加或不变的特性。该特性对使用在反馈环电路之中的DAC非常重要,它能保证反馈环不会被死锁在两个输入代码之间。

·积分非线性度(INL)是指对一个输入代码所有非线性度的累计。这一参数可以通过测量该代码相应的输出模拟量与传输函数直线之间的偏差来完成。

·偏置(offset)是指DAC的输入代码为0 时DAC输出模拟量与理想输出的偏差。

·增益误差(gain error)是指DAC的输入代码为最大时DAC实际输出模拟量与理想输出的偏差。

·精度(accuracy)是指DAC的输出与理想情况的偏差,包括了所有以上的这些错误,有时用百分比来表示。我们一般情况不直接测量该参数而由静态错误的计算而得到结果。

ADC静态参数规格

·满幅范围(FSR)的定义与DAC的一样。

·偏置(offset error)是指保证输出代码为0时的理想输入模拟量与实际输入模拟量的偏差。计算方法:输出第一个代码时ADC的实际输入模拟值减去1/2个LSB大小再减去理想的0代码输入模拟值。

·ADC的增益误差(gain error)是指满幅输入时输出代码的误差。计算方法:实际的满幅输出代码值加上1/2 LSB值,再加上偏置(offset error)。

·LSB值是通过测量最小的和最大的转换点后计算得到的。理想情况下,模拟输入变化一个LSB值,将引起输出端变化一个代码。

·差分非线性度(DNL)用于测量小信号非线性误差。计算方法:两个转换点之间的模拟输入量之差减去一个LSB值。

·无丢码位数(no missing code)是指该ADC在实际情况下能产生多少位输出。一个14位的ADC可能

被说明为“无丢码位数为12(no miss ing codes to 12 bits)”,这就表明此ADC在输入变化时,其输出端的低两位代码不会发生变化,而只是其它的高12位代码能发生变化。

·积分非线性度(INL)是指一个指定代码实际输入和传输函数线上理想输入之间的偏移。

·ADC的测量精度概念与DAC的相似。

DAC动态参数规格

·信噪比(SNR)是通过给DAC施加一个满幅的正弦波数字代码再分析其输出波形频率特性而得到的。DAC 的输出经过滤波滤除基波分量以及所有谐波分量后剩下部分就是噪声。SNR就是基波分

量与所有噪声分量之和的比值。

·信号与噪声谐波比(SNDR或SINAD)跟SNR的计算方法一样,只是谐波分量也计算在内。

·总谐波失真(THD)和SINAD相似,但它只包含谐波分量不包括噪声。在这个比值计算中,基波分量是分母而不是分子。DAC的输入为一个正弦波的数字代码;其输出是阶梯状的正弦波输出,需要通过一个滤波器进行平滑处理。经滤波后的输出波形再在频域进行分析,寻找与基波分量相关的谐波分量。

·互调失真(IM)用于测试由两种频率互调而产生的非谐波分量的失真。这种失真是由待测芯片的非线性度而引起的。测试该参数时:先给待测DAC输入两个频率分量的波形数字代码,再计算输出波形中的各个频率分量。

·最大转换速率(maximum conversion rates)是芯片规格书指标之一。当DAC的输入变化时,其输出端需要一段时间才能得到稳定的相应输出值。最长的稳定时间就是最大转换速率。

·建立时间(settling)是指输出值达并稳定在预定值的+-1/2LSB范围之内所需的时间。

ADC动态参数规格

·信噪比(SNR)的概念与运算放大器的概念一样。和THD测量类似,给ADC输入端加一个纯正弦波,通过ADC芯片的采样之后,输出一组数字代码。再用数字信号处理算法提取其中的SNR信息。SNR的单位是dB。

·总谐波失真(THD)的概念与运算放大器的概念一样,但他们的测试方法不一样。给ADC输入一个纯正弦波,输出是一组由正弦波采样而来的数字代码,我们再把这些代码与理想值进行比较。使用数字信号处理算法提取其中的总谐波失真信息。单位是dB。

·信号与噪声谐波比(SNDR或SINAD)是基波分量与噪声及谐波失真分量总和的比值,单位是dB。

·互调失真(IM)用于测试由两种频率互调而产生的非谐波分量的失真。这种失真是由待测芯片的非线性度而引起的。测试该参数时:先给待测ADC输入两个频率分量模拟波形数,再计算输出数字代码中的各个频率分量。

·动态范围(Dynamic range)是指ADC输入信号幅度的最大值与最小值的比值,单位是dB. 理想ADC

的动态范围是20log(2bits-1)。

·无杂散动态范围(SFDR)是指基波分量与其它最大的频率分量(可以是谐波失真)的比值,单位是dB。

到此为止,我们讨论了相对简单的存储器和数字芯片的测试技术,也介绍了复杂混合信号芯片的特殊测试要求。在接下来的最后一章,我们将介绍射频/无线芯片的测试。

IC测试原理解析

I C测试原理解析 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

IC测试原理解析3 存储器和逻辑芯片的测试? ?存储器芯片测试介绍? ?存储器芯片是在特定条件下用来存储数字信息的芯片。存储的信息可以是操作代码,数据文件或者是二者的结合等。根据特性的不同,存储器可以分为以下几类,如表1所示:? ? ?存储器术语的定义? ?在讨论存储器芯片测试之前,有必要先定义一些相关的术语。? ?写入恢复时间(Write?Recovery?Time):一个存储单元在写入操作之后和正确读取之前中间必须等待的时间。? ? ;?保持时间(Hold?Time):输入数据电平在锁存时钟之后必须保持的时间间隔。? ?Pause?Test:存储器内容保持时间的测试。? ?刷新时间(Refresh?Time):存储器刷新的最大时间间隔。? ?建立时间(Setup?Time):输入数据电平在锁存时钟之前必须稳定保持的时间间隔。? ?上升和下降时间(Rise?and?Fall?Times):功能速度测试是通过重复地进行功能测试,同时改变芯片测试的周期或频率来完成的。测试的周期通常使用二进制搜索的办法来进行改变。这些测试能够测出芯片的最快运行速度。? ?写入恢复(Write?Recovery):一个存储单元在写入操作之后和下一个存储单元能正确读取之前中间必须等待的时间。? ?读取时间(Access?time):通常是指在读使能,片选信号或地址改变到输出端输出新数据的所需的最小时间。读取时间取决于存储器读取时的流程。?

?存储器芯片测试中的功能测试? ?存储器芯片必须经过许多必要的测试以保证其功能正确。这些测试主要用来确保芯片不包含一下类型的错误:? ?存储单元短路:存储单元与电源或者地段路? ?存储单元开路:存储单元在写入时状态不能改变相邻单元短路:根据不同的短路状态,相邻的单元会被写入相同或相反的数据地址? ?开路或短路:这种错误引起一个存储单元对应多个地址或者多个地址对应一个存储单元。这种错误不容易被检测,因为我们一次只能检查输入地址所对应的输出响应,很难确定是哪一个物理地址被真正读取。? ?存储单元干扰:它是指在写入或者读取一个存储单元的时候可能会引起它周围或者相邻的存储单元状态的改变,也就是状态被干扰了。? ?存储器芯片测试时用于错误检测的测试向量? ?测试向量是施加给存储器芯片的一系列的功能,即不同的读和写等的功能组合。它主要用于测试芯片的功能错误。常用的存储器测试向量如下所示,分别介绍一下他们的执行方式以及测试目的.? -------------------------------------------------------------------------------- ?全”0”和全”1”向量:?4n行向量? ?执行方式:对所有单元写”1”再读取验证所有单元。对所有单元写”0”再读取验证所有单元。? ?目的:检查存储单元短路或者开路错误。也能检查相邻单元短路的问题。?

IC测试原理

IC测试原理解析(第一部分) 本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理, 内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 第一章 数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这 样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节 不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ? 特征分析:保证设计的正确性,决定器件的性能参数; ? 产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ? 可靠性测试:保证器件能在规定的年限之内能正确工作; ? 来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ? 封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 ? 特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、 接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: ? TTL

声波测试技术的原理及其运用

声波测试技术的原理及其运用 1.声波测试原理 声波探测技术是一种岩土体测试技术,它根据弹性波在岩体中传播的原理,用仪器的发射系统向岩土体中发射声波,由接受系统接收。由于岩体的岩性、结构面情况、风化程度、应力状态、含水情况等地质因素都能直接引起声波波速、振幅和频率发生变化,因此可通过接收器所接受的声波波速、频率和振幅了解岩土体地质情况并求得岩土体某些力学参数(如泊松比、动弹性模量、抗压强度、弹性抗力系数等)和其他一些工程地质性质指标(如风化系数、裂隙系数、各向异性系数等)。 声波仪是声波探测使用的仪器。声波仪有多种型号,主动测试的仪器一般都由发射系统和接收系统两大部分组成。发射系统包括发射机和发射换能器,接收系统包括接收机和接收换能器。发射机是一种声源讯号的发射器,由它向压电材料制成的换能器(图中的1)输送电脉冲,激励换能器的晶片,使之振动而产生声波,向岩体发射。于是声波在岩体中以弹性波形式传播,然后由接收换能器(图中的2)加以接收,该换能器将声能转换成电子讯号送到接收机,经放大后在接收机的示波管屏幕上显示波形。 声波仪的主要部件示意图 2.声波测试技术的运用 声波探测可分为主动测试和被动测试两种工作方法。主动测试所利用的声波由声波仪的发射系统或槌击方式产生;被动测试的声波则是岩体遭受自然界的或其它的作用力时,在变形或破坏过程中由它本身发出的(如滑坡)。主动测试包括波速测定,振幅衰减测定和频率测定,其中最常用的是波速测定。 目前在工程地质勘探中,已较为广泛地采用声波探测解决下列地质问题:根据波速等声学参数的变化规律进行工程岩体的地质分类;根据波速随岩体裂隙发育而降低及随应力状态的变化而改变等规律,圈定开挖造成的围岩松驰带,为确定合理的衬砌厚度和锚杆长度提供依据;测定岩体或岩石试件的力学参数如杨氏模量、剪切模量和泊松比等;利用声速及声幅在岩体内的变化规律进行工程岩体边坡或地下硐室围岩稳定性的评价;探测断层、溶洞的位置及规模,张开裂隙的延伸方向及长度等;利用声速、声幅及超声电视测井的资料划分钻井剖面岩性,进行地层对比,查明裂隙、溶洞及套管的裂隙等;划分浅层地质剖面及确定地下水面深度;天然地震及大面积地质灾害的预报。 声波探测的工作方法: (1)测网的布置 测网的布置一般应选择有代表性的地段,力求以最少的工作量解决较多的地质问题。 测点或观测孔的布置一般应选择在岩性均匀、表面光洁、无局部节理裂隙的地方,以避免介质不均匀对声波的干扰。如果是为了探测某一地质因素,测量地段应选在其他地质因素基本均匀的地方,以减少多种地质因素变化引起的综合异常给资料解释带来困难。装置的距离要根据介质的情况、仪器的性能以及接收的波型特点等条件而定。 (2)工作方式

集成电路测试

第一章 集成电路的测试 1.集成电路测试的定义 集成电路测试是对集成电路或模块进行检测,通过测量对于集成电路的输出回应和预期输出比较,以确定或评估集成电路元器件功能和性能的过程,是验证设计、监控生产、保证质量、分析失效以及指导应用的重要手段。 .2.集成电路测试的基本原理 输入Y 被测电路DUT(Device Under Test)可作为一个已知功能的实体,测试依据原始输入x 和网络功能集F(x),确定原始输出回应y,并分析y是否表达了电路网络的实际输出。因此,测试的基本任务是生成测试输入,而测试系统的基本任务则是将测试输人应用于被测器件,并分析其输出的正确性。测试过程中,测试系统首先生成输入定时波形信号施加到被测器件的原始输入管脚,第二步是从被测器件的原始输出管脚采样输出回应,最后经过分析处理得到测试结果。 3.集成电路故障与测试 集成电路的不正常状态有缺陷(defect)、故障(fault)和失效(failure)等。由于设计考虑不周全或制造过程中的一些物理、化学因素,使集成电路不符合技术条件而不能正常工作,称为集成电路存在缺陷。集成电路的缺陷导致它的功能发生变化,称为故障。故障可能使集成电路失效,也可能不失效,集成电路丧失了实施其特定规范要求的功能,称为集成电路失效。故障和缺陷等效,但两者有一定区别,缺陷会引发故障,故障是表象,相对稳定,并且易于测试;缺陷相对隐蔽和微观,缺陷的查找与定位较难。 4.集成电路测试的过程 1.测试设备 测试仪:通常被叫做自动测试设备,是用来向被测试器件施加输入,并观察输出。测试是要考虑DUT的技术指标和规范,包括:器件最高时钟频率、定时精度要求、输入\输出引脚的数目等。要考虑的因素:费用、可靠性、服务能力、软件编程难易程度等。 1.测试界面 测试界面主要根据DUT的封装形式、最高时钟频率、ATE的资源配置和界面板卡形等合理地选择测试插座和设计制作测试负载板。

IC测试原理 IC设计必备宝典

第1章认识半导体和测试设备 更多.. 1947年,第一只晶体管的诞生标志着半导体工业的开始,从那时起,半导体生产和制造技术变得越来越重要... 第1节 晶圆、晶片和封装 第3节 半导体技术 第5节 测试系统的种类 第7节 探针卡(ProbeCard) 第2节 自动测试设备 第4节 数字和模拟电路 第6节 测试负载板(LoadBoard)... 第2章半导体测试基础 更多.. 半导体测试程序的目的是控制测试系统硬件以一定的方式保证被测器件达到或超越它的那些被具体定义在器件规格书里的设计指标... 第1节 基础术语 第3节 测试系统 第5节 管脚电路 第2节 正确的测试方法 第4节 PMU 第6节 测试开发基本规则 第3章基于PMU的开短路测试 更多.. Open-Short Test也称为Continuity Test或Contact Test,用以确认在器件测试时所有的信号引脚都与测试系统相应的通道在电性能上完成了连接,并且没有信号引脚与其他信号引脚、电源或地发生短路... 第1节 测试目的 第2节 测试方法 第4章DC参数测试 更多.. 测试程序流程中的各个测试项之间的关系对DC测试来说是重要的,很多DC测试要求前提条件... 第1节基本术语 第3节VOL/IOL 第5节Static IDD 第7节IIL / IIH 第11节High Impedance Curren... 第2节VOH/IOH 第4节Gross IDD 第6节IDDQ & Dynamic IDD 第8节Resistive Input & Outpu...

第12节IOS test 第5章功能测试 更多.. 功能测试是验证DUT是否能正确实现所设计的逻辑功能,为此,需生成测试向量或真值表以检测DUT中的错误,真值表检测错误的能力可用故障覆盖率衡量,测试向量和测试时序组成功能测试的核心... 第1节基础术语 第3节输出数据 第5节Vector Data 第7节Gross Functional Test an... 第9节标准功能测试 第2节测试周期及输入数据 第4节Output Loading for AC Te... 第6节Functional Specification... 第8节Functionally Testing a D... 第6章AC参数测试 更多.. 第1节 测试类型 第1节 晶圆、晶片和封装

集成电路测试原理及方法

H a r b i n I n s t i t u t e o f T e c h n o l o g y 集成电路测试原理及方法简介 院系:电气工程及自动化学院 姓名: XXXXXX 学号: XXXXXXXXX 指导教师: XXXXXX 设计时间: XXXXXXXXXX

摘要 随着经济发展和技术的进步,集成电路产业取得了突飞猛进的发展。集成电路测试是集成电路产业链中的一个重要环节,是保证集成电路性能、质量的关键环节之一。集成电路基础设计是集成电路产业的一门支撑技术,而集成电路是实现集成电路测试必不可少的工具。 本文首先介绍了集成电路自动测试系统的国内外研究现状,接着介绍了数字集成电路的测试技术,包括逻辑功能测试技术和直流参数测试技术。逻辑功能测试技术介绍了测试向量的格式化作为输入激励和对输出结果的采样,最后讨论了集成电路测试面临的技术难题。 关键词:集成电路;研究现状;测试原理;测试方法

目录 一、引言 (4) 二、集成电路测试重要性 (4) 三、集成电路测试分类 (5) 四、集成电路测试原理和方法 (6) 4.1.数字器件的逻辑功能测试 (6) 4.1.1测试周期及输入数据 (8) 4.1.2输出数据 (10) 4.2 集成电路生产测试的流程 (12) 五、集成电路自动测试面临的挑战 (13) 参考文献 (14)

一、引言 随着经济的发展,人们生活质量的提高,生活中遍布着各类电子消费产品。电脑﹑手机和mp3播放器等电子产品和人们的生活息息相关,这些都为集成电路产业的发展带来了巨大的市场空间。2007年世界半导体营业额高达2.740亿美元,2008世界半导体产业营业额增至2.850亿美元,专家预测今后的几年随着消费的增长,对集成电路的需求必然强劲。因此,世界集成电路产业正在处于高速发展的阶段。 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 二、集成电路测试重要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。

测试技术主要内容

机械工程测试技术主要知识点 绪论 1)测试系统的组成 第一章信号的描述 2)信号的分类什么是确定信号,什么是周期信号什么是非周期信号什么是准周期信号什么是非确定性信号 确定性信号:能用明确的数学关系式或图像表达的信号称为确定性信号 非确定性信号:不能用数学关系式描述的信号 周期信号(period signal):依一定的时间间隔周而复始、重复出现;无始无终。 一般周期信号:(如周期方波、周期三角波等)由多个乃至无穷多个频率成分(频率不同的谐波分量)叠加所组成,叠加后存在公共周期。 准周期信号(quasi-periodic signal):也由多个频率成分叠加而成,但不存在公共周期。(实质上是非周期信号) 3)离散信号和连续信号能量信号和功率信号 什么是能量(有限)信号—总能量是有限的 什么是功率(有限)信号信号在有限区间(t1, t2)上的平均功率是有限的 4)时域信号和频域信号 以时间为独立变量,描述信号随时间的变化特征,反映信号幅值与时间的函数关系 以频率为变量建立信号幅值、相位与频率的函数关系 5)一般周期信号可以利用傅里叶展开成频域信号 6)傅里叶级数展开和傅里叶变换的定义和公式傅里叶变换的主要性质

傅里叶变换: 傅里叶变换: 性质: 对称性:X(t) ? x(-f )尺度改变性 频移特性

7)把时域信号变换为频域信号,也叫做信号的频谱分析。 8)求方波和三角波的频谱,做出频谱图,分别用三角函数展开式和傅里叶级数展开式 傅里叶变换…… 9)非周期信号的频谱分析通过 傅里叶变换 10)周期信号和非周期信号的频谱的主要区别 周期信号的频谱是离散的,非周期信号的频谱是连续的求单边指数衰减函数的傅里叶变换(频谱) 11)随机信号的描述,可分成足什么条件在随机信号的实际测试工作中,为什么要证明随机过程是各态历经的 随机信号必须采用概率和统计的方法进行描述 工程中绝大多数随机过程假定符合各态历经过程,则可用测得的有限样本记录来代表总体过程,否则理论上要测量无穷个样本才能描述该过程 12)脉冲函数的频谱什么是脉冲函数的筛选性质矩形窗函数平稳随机过程和非平稳随机过程,平稳随机过程又可分为各态历经和 非各态历经两类,各态历经随机过程的统计特征参数满的频谱sinc函数的定义单边指数函数的频谱单位阶跃函数的频谱δ函数具有等强度、无限宽广的频谱,这种频谱常称为“均匀谱”。 Sinc(x)=sinx/x

IC测试基本原理

本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ·特征分析:保证设计的正确性,决定器件的性能参数; ·产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ·可靠性测试:保证器件能在规定的年限之内能正确工作; ·来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ·圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ·封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。·特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: · TTL · ECL · CMOS · NMOS · Others 通常的测试项目种类: ·功能测试:真值表,算法向量生成。 ·直流参数测试:开路/短路测试,输出驱动电流测试,漏电电源测试,电源电流测试,转换电平测试等。·交流参数测试:传输延迟测试,建立保持时间测试,功能速度测试,存取时间测试,刷新/等待时间测试,上升/下降时间测试。 第二节直流参数测试 直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过,然后测量其该管脚电流的测试。输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。

IC测试原理解析 第三部分-混合信号芯片

IC测试原理解析(第三部分) 芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第三章。我们在第一章介绍了芯片测试的基本原理;第二章讨论了怎么把这些基本原理应用到存储器和逻辑芯片的测试上;本文主要介绍混合信号芯片的测试;接下来的第四章将会介绍射频/无线芯片的测试。 第三章混合信号芯片测试基础 基于DSP的测试技术 利用基于数字信号处理(DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括: 由于能并行地进行参数测试,所以能减少测试时间; 由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。 能使用很多数据处理函数,比如说求平均数等,这对混合信号测试非常有用 采样和重建 采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(A TE)依靠采样和重建给待测芯片(DUT)施加激励信号并测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。 采样和重建在混合信号测试中的应用

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号本质上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有信号的损失。 我们周围物理世界上的许多信号,比如说声波、光束、温度、压力在自然界都是模拟的信号。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。 重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个数模转换器(DAC)和反镜象滤波器一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。 由一个数据序列重建连续时间波形 混合信号测试介绍 最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),能用数字信号调节输入信号的放大倍数;数模转换电路(D/As or DACs);模数转换电路(A/Ds or ADCs);锁相环电路(PLLs),常用于生成高频基准时钟或者从异步数据流中恢复同步时钟。

材料现代分析与测试技术-各种原理及应用

XRD : 1.X 射线产生机理: (1)连续X 射线的产生:任何高速运动的带电粒子突然减速时,都会产生电磁辐射。 ①在X 射线管中,从阴极发出的带负电荷的电子在高电压的作用下以极大的速度向阳极运动,当撞到阳极突然减速,其大部分动能变为热能都损耗掉了,而一部分动能以电磁辐射—X 射线的形式放射出来。 ②由于撞到阳极上的电子极多,碰撞的时间、次数及其他条件各不相同,导致产生的X 射线具有不同波长,即构成连续X 射线谱。 (2)特征X 射线:根本原因是原子内层电子的跃迁。 ①阴极发出的热电子在高电压作用下高速撞击阳极; ②若管电压超过某一临界值V k ,电子的动能(eV k )就大到足以将阳极物质原子中的K 层电子撞击出来,于是在K 层形成一个空位,这一过程称为激发。V k 称为K 系激发电压。 ③按照能量最低原理,电子具有尽量往低能级跑的趋势。当K 层出现空位后,L 、M 、N……外层电子就会跃入此空位,同时将它们多余的能量以X 射线光子的形式释放出来。 ④K 系:L, M, N, ...─→K ,产生K α、K β、 K r ... 标识X 射线 L 系:M, N, O,...─→L ,产生L α、L β... 标识X 射线 特征X 射线谱 M 系: N, O, ....─→M ,产生M α... 标识X 射线 特征谱Moseley 定律 2)(1 αλ-?=Z a Z:原子序数,a 、α:常数 2.X 射线与物质相互作用的三个效应 (1)光电效应 ?当 X 射线的波长足够短时,X 射线光子的能量就足够大,以至能把原子中处于某一能级上的电子打出来, ?X 射线光子本身被吸收,它的能量传给该电子,使之成为具有一定能量的光电子,并使原子处于高能的激发态。 (2)荧光效应 ①外层电子填补空位将多余能量ΔE 辐射次级特征X 射线,由X 射线激发出的X 射线称为荧光X 射线。 ②衍射工作中,荧光X 射线增加衍射花样背影,是有害因素 ③荧光X 射线的波长只取决于物质中原子的种类(由Moseley 定律决定),利用荧光X 射线的波长和强度,可确定物质元素的组分及含量,这是X 射线荧光分析的基本原理。 (3)俄歇效应 俄歇效应是外层电子跃迁到空位时将多余能量ΔE 激发另一个核外电子,使之脱离原子。这样脱离的电子称为俄歇电子。 3.衍射理论 (1)衍射几何条件: Bragg 公式 + 光学反射定律 = Bragg 定律 Bragg 公式:2 d Sin θ = n λ n ——整数,称为衍射级数 d ——晶面间距,与晶体结构有关 θ ——Bragg 角 或 半衍射角 2θ衍射角(入射线与衍射线夹角)

材料现代分析与测试技术-各种原理及应用

XRD : 射线产生机理: (1)连续X 射线的产生:任何高速运动的带电粒子突然减速时,都会产生电磁辐射。 ①在X 射线管中,从阴极发出的带负电荷的电子在高电压的作用下以极大的速度向阳极运动,当撞到阳极突然减速,其大部分动能变为热能都损耗掉了,而一部分动能以电磁辐射—X 射线的形式放射出来。 ②由于撞到阳极上的电子极多,碰撞的时间、次数及其他条件各不相同,导致产生的X 射线具有不同波长,即构成连续X 射线谱。 (2)特征X 射线:根本原因是原子内层电子的跃迁。 ①阴极发出的热电子在高电压作用下高速撞击阳极; ②若管电压超过某一临界值V k ,电子的动能(eV k )就大到足以将阳极物质原子中的K 层电子撞击出来,于是在K 层形成一个空位,这一过程称为激发。V k 称为K 系激发电压。 ③按照能量最低原理,电子具有尽量往低能级跑的趋势。当K 层出现空位后,L 、M 、N……外层电子就会跃入此空位,同时将它们多余的能量以X 射线光子的形式释放出来。 ④K 系:L, M, N, ...─→K ,产生K α、K β、 K r ... 标识X 射线 L 系:M, N, O,...─→L ,产生L α、L β... 标识X 射线 特征X 射线谱 M 系: N, O, ....─→M ,产生M α... 标识X 射线 特征谱Moseley 定律 2)(1 αλ-?=Z a Z:原子序数,a 、α:常数 射线与物质相互作用的三个效应 (1)光电效应 ?当 X 射线的波长足够短时,X 射线光子的能量就足够大,以至能把原子中处于某一能级上的电子打出来, ?X 射线光子本身被吸收,它的能量传给该电子,使之成为具有一定能量的光电子,并使原子处于高能的激发态。 (2)荧光效应 ①外层电子填补空位将多余能量ΔE 辐射次级特征X 射线,由X 射线激发出的X 射线称为荧光X 射线。 ②衍射工作中,荧光X 射线增加衍射花样背影,是有害因素 ③荧光X 射线的波长只取决于物质中原子的种类(由Moseley 定律决定),利用荧光X 射线的波长和强度,可确定物质元素的组分及含量,这是X 射线荧光分析的基本原理。 (3)俄歇效应 俄歇效应是外层电子跃迁到空位时将多余能量ΔE 激发另一个核外电子,使之脱离原子。这样脱离的电子称为俄歇电子。 3.衍射理论 (1)衍射几何条件: Bragg 公式 + 光学反射定律 = Bragg 定律 Bragg 公式:2 d Sin θ = n λ n ——整数,称为衍射级数 d ——晶面间距,与晶体结构有关 θ ——Bragg 角 或 半衍射角 2θ衍射角(入射线与衍射线夹角)

IC测试原理解析 第一部分-芯片测试

IC测试原理解析 主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 第一章数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ?特征分析:保证设计的正确性,决定器件的性能参数; ?产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ?可靠性测试:保证器件能在规定的年限之内能正确工作; ?来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ?封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 ?特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括:

IC测试原理:存储器和逻辑芯片测试

1 存储器芯片测试 存储器芯片是在特定条件下用来存储数字信息的芯片。存储的信息可以是操作代码,数据文件或者是二者的结合。根据特性的不同,存储器可以分为以下几类,如表1所示。大允许时间间隔。 建立时间(Setup Time):输入数据电平在锁存时钟之前必须稳定保持的时间间隔。 速度(Speed):功能速度测试是通过重复地进行功能测试,同时改变芯片测试的周期或频率来完成的。测试的周期通常使用二进制搜索的办法来进行改变。这些测试能够测出芯片的最快运行速度。 读取时间(Access time):通常是指在读使能,芯片被选中或地址改变到输出端输出新数据的所需的时间。读取时间取决于存取单元排列次序。 3 存储器芯片所需的功能测试存储器芯片必须经过许多必要的测试以保证其功能正确。这些测试主要用来确保芯片不包含以下任何一种类型的错误: 存储单元短路:存储单元与电源或者地短路。 存储单元开路:存储单元在写入时状态不能改变。 相邻单元短路:根据不同的生产工艺,相邻的单元会被写入相同或相反的数据。 地址开路或短路:这种错误引起一个存储单元对应多个地址或者多个地址对应一个存储单元。这种错误不容易被检测,因为我们一次只能检查输入地址所对应的输出响应,很难确定是哪一个物理地址被真正读取。 存储单元干扰:它是指在写入或者读取一个存储单元的时候可能会引起它相邻的存储单元状态的改变,也就是状态被干扰了。 4 存储器芯片测试时用于错误检 测的测试向量 测试向量是施加给存储器芯片的一系列的功 IC测试原理-存储器和逻辑芯片的测试 许伟达 (科利登系统有限公司) 存储器的种类 闪存(Flash) 只读存储器(ROM) 静态随机读取存储器 (SRAM) 电可编程只读存储器(EPROM) 电可编程可擦除编程只读存 储器(EEPROM) 动态随机读取存储器(DRAM) 特性 低成本,高密度,速度快;低功耗,高可靠性 成熟的技术,高密度,可靠的,低成本;写入速度慢,适用于固定代码存储的批量产品 速度最快,高功耗,低密度;较低的密度关系使其生产成本上升较高 高密度;必须通过紫外线照射来擦除内存数据 以字节方式进行电擦除;低可靠性,高成本,密度最低 高密度,低成本,高速度,高功耗 表1 存储器的种类与特性 2 存储器术语的定义 在讨论存储器芯片测试之前,有必要先定义一 些相关的术语。 写入恢复时间(Write Recovery Time):一个 存储单元在写入操作之后到能正确读取之前这中间 必须等待的时间。 锁存时间(Hold Time) :输入数据电平在锁存 输入时钟之后必须保持的时间间隔。 数据保存时间(Pause Test):存储器单元 能保持它们状态的时间,也是存储器内容能保持时 间的测试。 刷新时间(Refresh Time) :存储器刷新前的最 半导体技术第31卷第5期2006年5月350

介绍实时频谱测试技术的原理

介绍实时频谱测试技术的原理 前言 19世纪60年代,James Maxwell 通过计算推断出存在着能够通过真空传输能量的电磁波。此后工程师和科学家们一直在寻求创新方法利用无线电技术。接下来,随着军事和通信领域技术的深入发展,20世纪无线电技术一直在不断创新,技术的演进也推动着RF测试技术向前发展(见图1)。从军用的跳频电台、雷达到RFID,第三代移动通信、蓝牙、WLAN,各种微功率发射装置等,瞬态信号如今无处不在。瞬态信号存在的普遍性使得技术人员需要有效的仪器对其进行捕获、存储并回放分析。另外,监测间歇性干扰或频谱使用情况等也需要一种有效的手段来实现“宽带实时监测”。 早在20世纪70、80年代,已经有部分仪表供应商采用FFT方式(基于快速傅立叶变换的分析方式)实现了实时频谱分析功能。但是由于受限于半导体工艺水平,ADC的采样率无法实现高位数,因此当时的FFT频谱分析仪的频率范围均在几十兆赫兹或几百兆赫兹,这就大大限制了这种仪表的应用范围(一般主要应用在音频、振动相关的测试领域)。 实时频谱测试的原理 1.1 FFT的基本原理 FFT方法是通过傅里叶运算将被测信号分解成分立的频率分量,达到与传统频谱分析仪同样的效果。它采用数字方法直接由模拟/数字转换器(ADC)对输入信号取样,再经FFT处理后获得频谱分布图(见图2)。 图2 FFT方式进行频谱分析的原理 离散傅立叶变换X(k)可看成是z变换在单位圆上的等距离采样值,同样,X(k)也可看作是序列傅氏变换X(ejω)的采样,采样间隔为ωN=2π/N。因此,离散傅立叶变换实质上是其频谱的离散频域采样,对频率具有选择性(ωk=2πk/N),在这些点上反映了信号的频谱。

IC芯片的检测方法大全

芯片的检测方法 一、查板方法: 1.观察法:有无烧糊、烧断、起泡、板面断线、插口锈蚀。 2.表测法:+5V、GND电阻是否是太小(在50欧姆以下)。 3.通电检查:对明确已坏板,可略调高电压0.5-1V,开机后用手搓板上的IC,让有问题的芯片发热,从而感知出来。 4.逻辑笔检查:对重点怀疑的IC输入、输出、控制极各端检查信号有无、强弱。 5.辨别各大工作区:大部分板都有区域上的明确分工,如:控制区(CPU)、时钟区(晶振)(分频)、背景画面区、动作区(人物、飞机)、声音产生合成区等。这对电脑板的深入维修十分重要。 二、排错方法: 1.将怀疑的芯片,根据手册的指示,首先检查输入、输出端是否有信号(波型),如有入 无出,再查IC的控制信号(时钟)等的有无,如有则此IC坏的可能性极大,无控制信号,追查到它的前一极,直到找到损坏的IC为止。 2.找到的暂时不要从极上取下可选用同一型号。或程序内容相同的IC背在上面,开机观察是否好转,以确认该IC是否损坏。 3.用切线、借跳线法寻找短路线:发现有的信线和地线、+5V或其它多个IC不应相连的 脚短路,可切断该线再测量,判断是IC问题还是板面走线问题,或从其它IC上借用信号焊接到波型不对的IC上看现象画面是否变好,判断该IC的好坏。 4.对照法:找一块相同内容的好电脑板对照测量相应IC的引脚波型和其数来确认的IC是 否损坏。

5.用微机万用编程器(ALL-03/07)(EXPRO-80/100等)中的ICTEST软件测试IC。 三、电脑芯片拆卸方法: 1.剪脚法:不伤板,不能再生利用。 2.拖锡法:在IC脚两边上焊满锡,利用高温烙铁来回拖动,同时起出IC(易伤板,但可保全测试IC)。 3.烧烤法:在酒精灯、煤气灶、电炉上烧烤,等板上锡溶化后起出IC(不易掌握)。 4.锡锅法:在电炉上作专用锡锅,待锡溶化后,将板上要卸的IC浸入锡锅内,即可起出IC又不伤板,但设备不易制作。 5.电热风枪:用专用电热风枪卸片,吹要卸的IC引脚部分,即可将化锡后的IC起出(注意吹板时要晃动风枪否则也会将电脑板吹起泡,但风枪成本高,一般约2000元左右)作为专业硬件维修,板卡维修是非常重要的项目之一。拿过来一块有故障的主板,如何判断具体哪个元器件出问题呢? 引起主板故障的主要原因 1.人为故障: 带电插拨I/O卡,以及在装板卡及插头时用力不当造成对接口、芯片等的损害 2.环境不良: 静电常造成主板上芯片(特别是CMOS芯片)被击穿。另外,主板遇到电源损坏或电网电压瞬间产生的尖峰脉冲时,往往会损坏系统板供电插头附近的芯片。如果主板上布满了灰尘,也会造成信号短路等。 3.器件质量问题:

测试技术

第1章 信号及其表述 学习目标 1.了解信号的分类; 2.掌握对周期性信号及非周期信号的描述; 3.掌握傅里叶变换的主要性质; 4.掌握典型信号的概率密度函数及其频谱。 学习难点信号的时域描述和频域描述的物理意义及时域、频域描述的互相转换。单位脉冲函数的性质及其物理意义。 内容概述本章从不同角度说明信号的分类及其定义。介绍周期信号和非周期信号的频域描述及其频域特征,随机信号的概念和关于随机信号幅值的若干统计参数,时域—频域转换的数学工具即傅里叶变换的概念和主要性质,若干典型函数的频谱。 例1.1: 求周期方波的频谱,并作出频谱图。 解: (1)写出周期方波的数学表达式。 x(t) 在一个周期内可表示为 (2)利用傅立叶级数的三角函数展开,计算其幅、相频特性。 因该函数x(t)是奇函数,奇函数在对称区间积分值为0,所以 , 因此,有

H:\东北大学csjs 课件光盘\NEUTEST\LLBF\00\0_1_1.htm (3)绘制幅、相频图。 根据上式,幅频谱和相频谱分别如图b 和 c 所示。幅频谱只包含基波和奇次谐波的频率分量,且谐波幅值以1/n 的规律收敛;相频谱中各次谐波的初相位 均为零。 )的频谱,并作频谱图。的定义为 利用欧拉公式,代入上式后 这里定义森克函数sinc(x)=sin(x)/x ,该函数是以 为周期,并随x 增加而衰减的振荡,函数在x= (n= ±1,±2,±3 1.1 n

解:(1)方波的时域描述为: (2) 从而:

的绝对均值和均方根值。 1.2 .求正弦信号 解(1) (2) 1.3.求符号函数和单位阶跃函数的频谱。

CPU芯片测试技术

CPU芯片测试技术

目录 第一章 CPU芯片封装概述 1.1 集成电路的发展 (4) 1.1.1 世界集成电路的发展 (4) 1.1.2 我国集成电路的发展 (5) 1.1.3 CPU芯片的发展 (6) 1.2 CPU构造原理 (10) 1.3 .1 CPU工作原理 (11) 1.3.2 CPU的工作流程 (12) 1.4 CPU性能指标 (12) 第二章测试 2.1 可靠性测试 (23) 2.2 测试分类 (24) 2.3 测试过程 (24) 2.4 电性能测试 (25) 2.5 电功能测试 (26) 2.6 测试环境条件 (26) 第三章 CPU芯片测试设备 3.1 测试设备介绍 (28) 3.1.1 Handler(传送机)介绍 (28) 3.1.2 Tester(测试机)介绍 (29) 3.1.3 Chiller(温控设备)介绍 (29) 3.2 测试系统 (30) 3.2.1 SUMMIT ATC 2.13 (温度控制系统) (30) 3.2.2 T2000( 测试系统) (31) 3.2.3 其它相关系统 (31) 第四章测试实例分析 4.1 等级测试 (32) 4.2 实例分析 (32) 致谢....................................................................................。.. (42) 参考文献 (43)

摘要 为什么要测试? 可以通过测试对产品中的带有缺陷的不合格的产品及时筛选出来。 可以通过测试对产品的性能作出优良等级的评定。 可以通过测试对产品,还在工厂中时,随时监控,及时找出存在的问题,解决问题。 可以通过测试对产品,及时监控,把最新动态反馈给工程师,从而不断的改进和完善工艺。 关键字:测试可靠性中央处理器传送机测试机 Abstract Why should we test ? Can pass the test product with a defect in the standard filter out of the product in time. Can test the performance of the product to make a good level of assessment. Can pass the test product, is still at the factory at any time to monitor, identify problems in a timely manner, to solve the problem. Can pass the test product, timely monitoring, the latest feedback to the engineers, so as to continuously improve and perfect the process Keywords: Test,reliability,CPU(Central Processing Unit),Handler,Tester

相关主题