搜档网
当前位置:搜档网 › 交通灯控制电路设计.doc

交通灯控制电路设计.doc

交通灯控制电路设计.doc
交通灯控制电路设计.doc

百度文库

长沙学院

电子技术

课程设计说明书

题目交通灯控制电路设计

系( 部) 电子信息与电气工程系

专业 ( 班级 ) 电气工程及其自动化

姓名龙欣

学号B214

指导教师张海涛

起止日期

电子技术课程设计任务书(27)

系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称

交通灯控制电路设计

百度文库

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;

绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的

自动指挥对城市交通管理现代化有着重要的意义。

设设计要求:

1.掌握交通灯控制电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。

设计任务:

1.用红、绿、黄三色发光二极管作信号灯。

2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红

灯。

3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时

显示电路。

4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中

的车辆有时间停到禁止线以外,设置5s 计时显示电路。

1、系统整体设计;

2、系统设计及仿真;

3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示;

作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。

起止日期(或时间量)设计内容(或预期目标)备注

第一天课题介绍,答疑,收集材料

第二天设计方案论证

安第三天进行具体设计

第四天进行具体设计

第五天编写设计说明书

指导老师

月教研室

年日

意见意见

年月日

长沙学院课程设计鉴定表

姓名龙欣学号B214专业电气班级 2

设计题目交通灯控制电路设计指导教师张海涛指导教师意见:

评定成绩:教师签名:日期:

答辩小组意见:

评定成绩:答辩小组长签名:日期:

教研室意见:

最终评定等级:教研室主任签名:日期:

说明课程设计成绩分“优秀”、“良好”、“中等”、“及格”、“不及格”

五等。

1. 设计内容和要求 (5)

设计目的 (5)

设计内容 (5)

设计要求 (5)

2. 设计方案 (5)

【2】

设计原理

(6)

设计方案 (6)

基本元器件的选择选择和电路组成 (7)

基本元器件的选择 (7)

电路组成 (9)

12 图软件运行仿真 (12)

运行仿真结果【4】 (12)

图干道通行状态表 (12)

运行结果分析 (13)

4.设计心得 (13)

参考文献 (14)

1.设计内容和要求

设计目的

随着经济的发展,私家车拥有量增加,城市交通压力增大,拥堵日趋严重,设计交通灯控制电路以缓解交

通阻力。交通灯在交通环境中扮演着角色,指挥着十字路口的车辆和行人井然有序的安全通过,缓解拥堵,减少交通事故的发生。本文运用数字电路技术知识自行设计一个小型数字系统。通过系统

设计、 Multisim软件仿真、电路调试,掌握小型系统的组装和调试技术,掌握查阅有关资料的技能。基本

任务是设计一个交通灯控制电路小型模拟电子系统。

设计内容

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行

驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。

设计要求

1.掌握交通灯控制电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。

设计任务:

1.用红、绿、黄三色发光二极管作信号灯。

2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。

3.主支干道交替允许通行,主干道每次放行30s、支干道 20s。设计 30s 和 20s 计时显示电路。

4. 在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s 的黄灯作为过渡,以使行驶中的车辆有时间停到

禁止线以外,设置5s 计时显示电路。

2.设计方案

设计原理【2】

根据设计要求,允许通行时亮绿灯,主支干道交替允许通行,主干道每次放行30s 、支干道 20s。在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s 的黄灯作为过渡。

即:主干道绿灯亮30 秒后,黄灯亮 5 秒,在这35 秒内,支干道的红灯一直亮着,之后支干道绿灯亮

20 秒后,黄灯亮 5 秒,在这 25 秒内,主干道的红灯一直亮着,并依次循环( 如图

主干道通行 30秒5s 的黄灯作为过渡停止通行,红灯

主干道绿灯亮文本亮25秒

5s 的黄灯作为过渡支干道通行

文本20s,支干道绿灯亮

支干道停止通行35

秒,支干道红灯亮

设计方案

交通灯控制电路设计主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。

秒脉冲发生器是此系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经

驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

定时器

TL TV ST

秒脉冲发生器主干道信号灯

控制器译码器

支干道信号灯

TL:表示主干道或支干道绿灯亮的时间间隔,即车辆正常通行的时间间隔。定时时间到,TL=1,否则, TL=0。

TY:表示黄灯亮的时间间隔为 5 秒。定时时间到,TY=1,否则, TY=0。

ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下

个工作状态的定时。

基本元器件的选择选择和电路组成

基本元器件的选择

(1)信号源 1 个(时钟脉冲源)每

隔 1 秒进行倒计时, T=1/f 选

择频率为 1Hz 的脉冲源。如图

(74LS163)× 1 片,

(74LS138)× 1

片,如图

图 74LS190 芯片引脚图

图 74LS163芯片引脚图

图 74LS138芯片引脚图(3)逻辑门: 74LS02D 或非门× 2 个, 74LS08D与门× 7 个,74LS32D 或门× 2 个, 74LS04D 非门× 5 个,

74LS20D 四输入与非门× 1 个;

( 4)倒计时显示器:四引脚共阴极数码管× 2 个

如图

图四引脚共阴极数码

(5)交通灯 TRAFFIC_LIGHT_SINGLE× 2 个

如图

图交通灯 TRAFFIC_LIGHT_SINGLE

电路组成

1.控制器

由 74LS163 芯片以及其他的逻辑门构成。 74LS163 芯片与 74LS190 芯片连接采集脉冲信号,进行加计数,并输出逻辑电平信号,控制3-8 译码器,从而控制红绿灯的亮灭。

74lLS163 工作原理:

74lLS163 是单时钟同步十六进制计数器,有置零和置数功能,时钟作用在上升沿。因为是同步计数器,当译出置数信号时必须等到时钟信号上升沿到来时才能置数,但上升沿到来时计数器又向高一位计数了。

假设用 74LS163 芯片构成一个八进制计数器,在0111=7时译出置数信号与进位信号C,将置数信号输出端接至置数端,当上升沿到来时计数器本身被置8,但只有极短的存在时间,计数器马上被置数,进

位信号变为0,只要将置数输入端D1 到 D4 全部接地,就能将计数器置为0000。

图 74LS163 芯片真值表

图 74LS163 芯片功能表

2.定时器

由 2 片 74LS190 芯片级联及一个四输入与非门构成。

74LS190 工作原理:

可逆计数器74LS190,可以实现加法或减法的计数,通过设定加/减控制信号U/D=1 可进行减法计数,由于芯片本身就带有异步的置数端LOAD且为低电平有效,当置入控制端为低电平时,不管时钟CP的状态如何,输出端(Q0~ Q3)即可预置成与数据输入端(D0~ D3)相一致的状态。

74LS190的计数是同步的,靠CP 加在 4 个触发器上而实现。当计数控制端(CT )为低电平时,在 CP 上升沿作用下Q0~ Q3同时变化,从而消除了异步计数器中出现的计数尖峰。当计数方式控制(U /D)为低电平时进行加计数,当计数方式控制(U /D )为高电平时进行减计数。只有在CP 为高电平时CT 和 U /D 才可以跳变。

由于 25 秒的倒计时需要用两片的74LS190 芯片才能实现,利用RC 端,可级联成三十进制同步计

数器。当采用并行CP控制时,则将RC 接到后一级CT ;当采用并行CT 控制时,则将RC 接到后一级CP。个位芯片的串行时钟输出端CO是低电平有效的,当状态为0 时,输出为CO=0,下一个状态变为9 时,输出为 CO=1,所以有一个上升沿的产生,这正可以作为十位芯片的时钟信号,从而采用串行借位方式来实现

倒计时。

图 74LS190 功能表

3. 译码器

74LS138 译码器的主要任务是将控制器的输出的 4 种工作状态,翻译成 2 个干道上的 6 个信号灯的工作状态。

3-8 译码器工作原理:

译码器可以将输入代码的状态,翻译成相应的输出信号,以高低电平的形式在各自的输出端口送出。

译码器有多个输入端和多个输出端,假如输入端的个数为n,输出端的个数最多有2n。

从图 5 可以看出, 3-8 译码器有三个输入端A0、 A1、 A2 和八个输出端Y0~Y7,当输入端的编码为000 时,译码器输出为Y0=0,Y1~Y7=1。即 Y0 对应于 A0、A1、A2 的 000 状态低电平有效。图中S1、S2、S3 为使能控制端,起到控制译码器是否能进行编码的作用,只有S1 为高电平, S1、 S3 为低电平时,才能进行译码,否则不论输入何值,每个输出均为1。

图74LS138芯片功能表

4显示器

【 1】

由两个共阴极四引脚的数码管组成。

图软件运行仿真

运行仿真结果【4】

图干道通行状态表

下面用主、支干道车辆通行情况进行描述:

(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行,支干道禁止通行。绿灯亮足规

定的时间隔 30 时,控制器发出状态信号,转到下一工作状态。

(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆

继续通行,支干道禁止通行。黄灯亮足规定时间间隔 5 时,控制器发出状态转换信号,转到下一工作状态。

(3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行绿灯亮足规定

的时间间隔 20 时,控制器发出状态转换信号,转到下一工作状态。

(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上位过县停车线的车辆停止通行,

已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔 5 时,控制器发出状态转换信号。

( 5)系统又转换到第(1)种工作状态,依次循环。

输入输出

CP脉冲74LS163 3-8 译码器信号灯状态车辆通行状态

000 Y0=0 主绿、支红主干道通行,支干道禁止通行

001 Y1=0 主黄、支红主干道缓行,支干道禁止通行

010 Y2=0 主红、支绿主干道禁止通行,支干道通行

011 Y3=0 主红,支黄主干道禁止通行,支干道缓行

运行结果分析

如图所示: 74LS163 芯片通过四输入与非门与74LS190 芯片连接采集脉冲信号,进行加计数,并输出

逻辑电平信号,由3-8 译码器 Y0、 Y1、Y2、 Y3 端输出,经过非门, 并和 CP脉冲一起连接到其他逻辑门从

而控制绿、黄、红灯。因为74LS163 芯片置数端LD 与 3-8 译码器的Y3 输出端相连,所以74LS163 计数输

出 000、 001、 010、011 四个状态后便重新置零,循环输出,以控制红绿灯的循环亮灭。

结果分析:

芯片输出逻辑电平信号 000, 3-8 译码器输出端 Y0=0,其他输出端为“ 1”。 Y0 输出信号经过非门后

变为“ 1”,之后和上升沿脉冲“1”连接到一个与门,输出信号为“1”,交通灯U13 红灯亮;与此同时,

Y1 输出信号“ 1,交通灯U12绿灯亮。

秒之后, 74LS163 芯片输出逻辑电平信号001,3-8 译码器输出端Y1=0,其他输出端为“1”。 Y0 输出

信号经过非门变为“1”,再通过与门与1HZ 脉冲信号相连接输出至U12,此时交通灯U12 黄灯亮, U13 依旧红灯亮。

c. 黄灯亮后 5 秒,74LS163 芯片输出逻辑电平信号010,3-8 译码器输出端Y1=0,其他输出端为“ 1”。Y1 输出信号经过非门变为“1”交通灯U13 绿灯亮。

之后,红绿黄灯循环亮灭。

4.设计心得

通过本次课程设计,加强了我动手,思考,解决问题的能力。完成一次课程设计,也是加深一次对课

本知识的理解和巩固。平时课间学习时间不能很好的理解各个元件的各个功能,只是纸上谈兵,但在这次

课程设计中,我重新了解了很多元件,并且对其的使用有了更多的认识,让我对电子技术认知能力有了一

个新的提升。

参考文献

[1]若只如初见681.用两片74LS190 芯片设计一个计数器和倒数器【DB 】康华光.电子技术基础数字部分 [M] .高等教育出版社,瑞 A 希礼特 . 常见数字组合逻辑电路逻辑图、引脚图和真值[EB / OL] .百度文库

[4]实用基础教程常见数字组合逻辑电路逻辑图[EB / OL] 、

hts7NFeBseWNlrEsfA6zQlCKo5UGCNEWJUuipZAXwlTk7ZP3xi

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

智能交通灯系统课程设计报告

江苏师范大学物电学院课程设计报告 课程名称:单片机课程实训 题目:智能交通灯系统 专业班级: 学生姓名: 学生学号: 日期: 指导教师:

说明: 1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指 导并确认签字。 2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并 填写成绩评定表。 3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律 按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。 4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设 计的情况另行规定。 5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不 及格处理。 6、课程设计完成后,由指导教师根据完成情况写出总结。 7、此表格式为江苏师范大学物理与电子工程学院提供的基本格式,指导教 师可根据本门课程设计的特点及内容做适当的调整。

一、课程设计目的、任务和内容要求: 通过该课程设计使学生进一步了解和加深智能化仪器设计的一般原则;熟练掌握智能化仪器与装置的软、硬件设计方法;掌握仪器的软件调试及软硬件联合统调方法与技能。掌握仪器的接口技术和程控方法;熟练掌握仪表总线的工作原理、设计步骤、编程及调试;掌握C设计软件的编程与调试方法;掌握网络化仪器设计编程与调试方法。 本课程设计的任务就是设计一个智能交通灯系统。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.熟悉QG8芯片与ISD语音模块的工作原理; 2.写出智能交通灯系统的设计方案; 3.用硬件加以实现; 4.写课程设计报告。 设计要求: 1.数码管显示倒计时,且时间可调; 2.红绿灯亮时间与数码管一致; 3.红灯亮时对应语音模块提示; 4.绿灯亮时对应语音模块提示。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

智能交通灯系统设计

智能交通灯系统设计 1.背景及意义 1.1.目的与意义 随着社会经济的发展,城市交通问题也越来越引起人们的关注,交通堵塞也成为人们每天必须面对的问题;交通堵塞不但浪费大量的时间,而且排队过程中刹车和怠速会浪费能源,同时也造成空气污染,如何有效的降低城市交通堵塞,协调好人、车、路三者之间的关系,已成为各大城市面临的难题之一。交通灯系统作为交通系统中的重要元素,对缓解交通堵塞扮演者重要角色。随着现在社会的飞速发展,红绿灯在道路上比较普遍,几乎每个路口都会出现,尤其是较大的路口,变换时间周期更长,效率低。因此,如何保证紧急车辆在道路上不受红绿灯的限制但又不闯红灯,使之畅通无阻的行驶,这便成为亟待解决的问题。本文主要针对这些问题,提出了智能交通灯系统的设计,该系统能够智能合理地设置红绿灯的时长以及相位的切换,就能够减少一个周期内十字路口前排队的车辆,从而有效地缓解交通堵塞。 1.2.国内外现状 交通灯诞生于19世纪的英国,1958年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的会议大厦前的广场上安装了煤气红绿灯。1914年,电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成。1918年又出现了带控制的红绿灯和红外线红绿灯,带控制的红绿灯,一种是把压力探测器按在地下,车辆接近时,红灯变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯是按一下喇叭,就使红灯变为绿灯。红外线红绿灯当当行人踏上对压力敏感的路面时,它就能觉察到有人要过马路。红外光束能把红灯延长一段时间,推迟汽车放行。信号灯的出现,对交通进行有效管理,疏导交通流量、提高了道路通行能力,减少交通事故具有显著效果。欧洲及日本在交通灯的研究上起步较早,美国于上世纪九十年代才开始逐渐重

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

智能交通灯控制系统的设计

智能交通灯控制系统的设计

前言 1.1 概述 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制日新月益的更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。 随着微控技术的日益完善和发展,单片机的应用在不断走向深入。它的应用必定导致传统的控制技术从根本上发生变革。也就是说单片机应用的出现是对传统控制技术的革命。它在工业控制、数据采集、智能化仪表、机电一体化、家用电器等领路得到了广泛应用,极大的提高了这些领域的技术水平和自动化控制。因此单片机的开发应用已成为高技术工程领域的一项重大课题。因此了解单片机知识,掌握单片机的应用技术具有重大的意义。 1.2 基于单片机的智能交通灯控制系统设计的意义 国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。对于一般情况下的安全行车,车辆分流尚能发挥作用,但根据实际行车过程中出现的情况,还存在以下缺点:1.经常出现的情况是某一车道车辆较多,放行时间应该长一些,另一车道车辆较少,放行时间应该短些。2.没有考虑紧急车通过时,两车道应采取的措施,例如,消防车执行紧急任务通过时,两车道的车都应停止,让紧急车通过。 基于传统交通灯控制系统设计过于死板,红绿灯交替是间过于程式化的缺点,智能交通灯控制系统的设计就更显示出了它的研究意义,它能根据道路交通拥护,交叉路口经常出现拥堵的情况。利用单片机控制技术.提出了软件和硬件设计方案,能够实现道路的最大通行效率。

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

基于单片机的智能交通灯控制系统设计

沈阳理工大学应用技术学院 基于单片机的智能交通灯控制系统设计 与实现

基于单片机的智能交通灯控制系统设计与实现 摘要 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用STC89C52RC单片机以及单片机最小系统和74HC245电路以及外围的按键和数码管显示等部件,设计一个基于单片机的交通灯设计。设计通过两位一体共阴极数码管显示,并能通过按键对定时进行设置。本系统实用性强、操作简单、扩展功能强。 关键词:交通灯;单片机;显示;计时;车流量

Design and implementation of intelligent traffic lights control based on MCU Abstract In recent years along with the rapid development of science and technology, SCM applications are continually deepening, and promote the traditional control detection technology is updated. In real-time detection and automatic control of the microcomputer application system, the microcontroller is often used as a core component, only SCM knowledge is not enough, should be based on specific hardware structure of hardware and software combination, to be perfect. Crossroads shuttle vehicles, pedestrians bustling, car dealership traffic lane, people walkways, everything in good order and well arranged. So what to rely on to realize it in order? Is the traffic lights on the automatic command system. A lot of traffic signal control. This system uses STC89C52RC and 74HC245 system and the smallest transistor driving circuit and a periphery of the keys and digital tube display and other parts, a design based on the single chip design of traffic lights. Design through one of two common cathode nixie tube display, and can be key to regular set. This system is practical, simple operation, strong expanding function. Keywords: Traffic light,SCM,Display,Timing,Traffic flow

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

交通灯控制电路的设计

交通灯控制电路的设计 一、设计任务与要求 设计一个十字路口的交通灯控制器,控制A,B 两条交叉道路上的车辆通行,东西方向为主干道A ,南北方向为副干道B ;具体要求如下: 1、每条道路设一组信号灯,每组信号灯有红、黄、绿3个灯组成,绿灯表示允许通过,红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。 2、主干道通行40秒,南北通行时间为20秒。 3、每次变换通行车道之前,要求黄灯先亮5s ,才能变换通行车道。 4、黄灯亮时,要求每秒闪烁一次。 二、方案设计与论证 首先根据设计的任务与要求,经过分析得出要设计的这个交通灯控制电路的功能满足以下几点: 1、控制主干道A 与副干道B 的信号灯的亮灭。 2、可以对主干道与副干道的信号灯亮的时间进行倒数计时。 3、实现黄灯的每秒闪烁。因此我们可以知道此电路应包含振荡电路、计数器电路、译码显示、主控制电路和信号灯译码驱动器等五个部分,并分析其原理图如图1所示并作出以下两种方案。 图1 方案一: 将整个电路工作循环周期65S 作为总时间,用74LS192芯片在此基础上进行分段,依次是40S 主干道绿灯亮副干道红灯亮,5S 主干道黄灯闪烁,20S 支干道红灯亮副干道绿灯亮,5S 副干道黄灯闪烁。再根据每一段的输出信号不同用基 计数器电路 振荡电路 主控制电路 信号灯译 码驱动器 译码显示

本逻辑门电路连接交通灯来实现每段时间不同的灯亮。此方案易于分析理解,缺点是相对于第二种方案可操作行比较差,需要的原件比较多,看起来比较复杂,不易实现,同时性价比较低。 方案二: 对红绿灯时段分别倒数计时,运用红绿灯变化时的高低电平对74LS192芯片进行置数,使之能分别进行40S、5S、20S倒数计时,再通过74LS160芯片的输出端进行各种逻辑组合运算控制红黄绿三种灯的亮灭,通过555定时器提供的脉冲信号控制黄灯的闪烁。此方案与方案一相比更易操作,用到的逻辑运算器件也比方案一要少,现实应用时可用性好,性价比较高。 三、单元电路设计与参数计算 1、振荡电路 555定时器是一种模拟和数字功能相结合的中规模集成器件,具有成本低,性能可靠的优点,只需外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器以及施密特触发器等脉冲产生与变换电路。 555定时器的功能表如下: 脉冲信号源选用555定时器产生,频率为1Hz,根据555定时器构成的多谐振荡器原理,可得出公式:振荡周期为T=0.7(R1+R2)C和振荡频率为f=1/T。各项数据可为R1=47KΩ,R2=51KΩ,C1=10uF,C2=10nF,根据555定时器的功能实现效果来连接电路,其电路图如图2所示。 图2 振荡电路

相关主题