搜档网
当前位置:搜档网 › 单片机秒表设计程序及原理图

单片机秒表设计程序及原理图

单片机秒表系统设计

引言:中国使用单片机的历史只有短短的30年,在初始的短短五年时间里发展极为迅速。纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。以前没有单片机时,这些东西也能做,但是只能使用复杂的模拟电路,然而这样做出来的产品不仅体积大,而且成本高,并且由于长期使用,元器件不断老化,控制的精度自然也会达不到标准。在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在单片机外围接一点简单的接口电路,核心部分只是由人为的写入程序来完成。这样产品的体积变小了,成本也降低了,长期使用也不会担心精度达不到了。所以,它的魔力不仅是在现在,在将来将会有更多的人来接受它、使用它。据统计,我国的单片机年容量已达3亿片,且每年以大约20%的速度增长,但相对于世界市场我国的占有率还不到1%。特别是沿海地区的玩具厂等生产产品多数用到单片机,并不断地辐射向内地。所以,学习单片机在我国是有着广阔前景的。

一、单片机秒表系统设计目的及内容

1、利用单片机定时器/计数器中断设计秒表,从而实现秒、十分

之一秒的计时。

2、综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。

3、通过本次系统设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握,对单片机实际的应用作进一步的了解。

4、通过本次系统设计,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。

本系统利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用AT89C51单片机、LED数码管以及控件来控制秒表的计数以及计数的开启/暂停/继续与复位!

其中有两个数码管用来显示数据,一个数码管显示秒(两位),另一个数码管显示十分之一秒,十分之一秒的数码管计数从0~9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用两位的数码管,当计数超过范围是所有数码管全部清零重新计数。

二、系统设计所需硬件(模拟硬件)

Atmel89C51单片机芯片一个、LED数码显示管三个,低压电源、开关(按钮)两个、电阻、电容及导线若干。芯片介绍:AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能

CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

主要特性:

·与MCS-51兼容

·4K字节可编程闪烁存储器

·寿命:1000写/擦循环

·数据保留时间:10年

·全静态工作:0Hz-24Hz

·三级程序存储器锁定

·128*8位内部RAM

·32可编程I/O线

·两个16位定时器/计数器

·5个中断源

·可编程串行通道

·低功耗的闲置和掉电模式

·片内振荡器和时钟电路

由于条件限制本系统采用软件模拟硬件系统,采用proteus软件进行模拟设计及调试工作。

图1 七段数码管引脚图

图2 Atmel89C51单片机外部引

脚图

三、试验设计原理图

图3 系统设计电路图四、软件设计分析

程序流程图:

实验程序清单:

#include

{

sbit sta_end=P3^4;

sbit reset=P3^7;

unsigned char code table0[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f};

unsigned char code table1[]={0xc0,0xf9,0xa4,0xb0,0x99,

0x92,0x82,0xf8,0x80,0x90};

/*声明second10用于计数十分之一秒变化,second1用于记录秒的个*/ /*位,second2用于记录秒的十位*/

unsigned int second10,second1,second2;

bit bdata flag;

/*以下是设置延时功能的函数*/

void delay()

{

unsigned char i,j;

for(i=90;i>0;i--)

for(j=200;j>0;j--);

}

}

/*以下是设置时间的函数*/

void setTime()

{

second10++;

if(second10==10) /*当十分之一秒计数到十后从零重新计时*/

{

second10=0; /*同时秒计时个位加一*/ second1++;

if(second1==10) /*当秒计数个位到十后从零重新计时*/

{

second1=0;

second2++; /*同时秒计时十位加一*/

if(second2==6)

second2=0;

}

}

}

/*以下是向LED管输数据并使之显示的函数*/

void dispact()

{

P3=0xfe;

P0=table1[second1];

delay();

P3=0xfd;

P0=table1[second2];

delay();

P2=table0[second10];

}

/*以下是设置时间函数和输数据函数被此函数调用实现,利用定时器中断*/

/*十分之一秒刷新一次,实现十分之一秒进一*/

Timer0 () interrupt 1 using 1

{

TH0 = (65535 - 50000)/256;

TL0 = (65535 - 50000)%256;

if(flag)

setTime();

dispact();

}

void main(void)

{

TMOD = 0x01;

TH0 = (65535 - 50000)/256; /*定时器赋初值*/ TL0 = (65535 - 50000)%256;

flag = 0;

EA = 1; /*cpu开中断*/

TR0 = 1; /*利用定时器0*/ ET0 = 1; /*外部中断允许*/ do

{

if(!sta_end)

{

if(flag == 0)

flag = 1;

else flag = 0;

}

if(!reset) /*复位设置,全部清零*/ {

flag = 0;

second10 = 0;

second1 = 0;

second2 = 0;

}

}while(1);

}

五、系统调试

利用51单片机设计一个1/100秒表,最大能显示99.99秒,具有置位,复位,启停等功能。用C语言编程,数码管显示!

1、确立总体设计方案;

2、系统整体框图设计;

3、各个单元电路设计与分析;

4、应用软件设计与调试;

5、系统仿真与调试;

6、分析结果,得出结论以及展望。

六、系统设计总结

通过这次的秒表设计,我对一些专业知识和电子设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次设计主要是对已学习的模拟电子技术、数字电子技术和单片机的综合应用,同时加上电路等知识,设计完成了利用软件模拟的秒表。经过几天的奋战,我感受很深。

在设计过程中深感自己在培养动手能力这方面还需很大的努力。单片机课程设计不仅给我提供了一个很好的展现应用自己所掌握的知识的平台,又是检验自己所学知识的一次考核。在设计的过程中我也不可避免的遇到了很多的问题。尤其是在调试过程中,会因为某些原因出不来结果,但通过老师对我的帮助最后还是成功的完成了这次的设计。经过过这次的系统设计,我也发现了不少自己不会的知识,通过查询各方面资料,我也进步了很多,也学会了很多上课时没掌握的东西,最后在调试结果出来后,我更是无比的兴奋,无比的自豪。总之,通过这次电子课程设计,我对自己的知识有了更好的掌握和应用,这使我在以后的学习和生活中受用终身。

参考文献

1、51单片机学习网 https://www.sodocs.net/doc/2a19339823.html,/

2、单片机学习网https://www.sodocs.net/doc/2a19339823.html,/

电子钟程序及原理图

. ..页脚.

基于51单片机电子钟设计 利用如图所示电路,设计一个电子钟,要求如下: 1)显示容:时-分-秒 2)具有闹铃设定功能、时间调整功能 3)具有按键设置功能 一、显示容 显示时间:用六位7段数码管 闹铃提示:用8个发光二极管 设置提示:用8个发光二极管 二、按键功能 P3.2——功能设置键; P3.3——显示区切换键; P3.4——“+”键; P3.5——“-”键。 设置提示显示要求: 1)正常显示状态,8个发光二极管全灭; 2)时间调整状态,P1.7亮; 3)闹铃设定状态,P1.7和P1.6亮。 显示时间要求: 1)显示时-分-秒,分三个显示区。 功能设置键K1是一个多功能键: 按第一次,进入时间调整状态 按第二次,进入闹铃设定状态 按第三次,退出设置状态,时钟正常显示。 备注:其他键在K1退出设置状态时无效。 显示区切换键K2: 在设置状态,用于切换不同的显示区,每按一次,将切换一次。 “+”键K3:在设置状态,用于对相应的显示区数字进行累加,每按一次,数字加1。“-”键K4:在设置状态,用于对相应的显示区数字进行自减,每按一次,数字减1。程序: K1 BIT P3.2 K2 BIT P3.3 K3 BIT P3.4 K4 BIT P3.5 L1 BIT P1.7 L2 BIT P1.6 KEZT EQU 30H HOUR EQU 31H MINU EQU 32H SECO EQU 33H NHOU EQU 34H NMIN EQU 35H K2ZT EQU 36H

TIME EQU 37H TIM EQU 40H NTIM EQU 50H LED EQU P1 ORG 0000H AJMP START ORG 000BH AJMP DINGSHI ORG 100H START: ACALL RESET LOOP: ACALL KEYSET ACALL DISPLAY ACALL ZHISHI AJMP LOOP ;************************************** DINGSHI: MOV TH0,#3CH MOV TL0,#0B0H INC TIME MOV A,TIME CJNE A,#20,DINGEND MOV TIME,#0 INC SECO MOV A,SECO CJNE A,#60,DINGEND INC MINU MOV SECO,#0 MOV A,MINU CJNE A,#60,DINGEND INC HOUR MOV MINU,#0 MOV A,HOUR CJNE A,#24,DINGEND MOV HOUR,#0 DINGEND: RETI ;**************************************** RESET: MOV TMOD,#01H ;T0工作在方式1,12MHZ MOV TH0,#3CH MOV TL0,#0B0H SETB EA SETB ET0 MOV HOUR,#23 MOV MINU,#59 MOV SECO,#58 MOV NHOU,#12

基于单片机的数字钟毕业设计(附程序全)

基于单片机的数字钟毕业设计(附程序全) 电子时钟设计 随着现代人类生活节奏的加快,人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确性更高~数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,并且由单片机的定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键词:数字钟;单片机;数码管;时间;准确性 1 目录 第一章绪论 1. 数字电子钟的意义和应用…………………………………………………………………… 3 第二章整体设计方案 2.1 单片机的选择…………………………………………………………………………… 3 2.2 单片机的基本结构……………………………………………………………………… 5 第三章数字钟的硬件设计

3.1 最小系统设计…………………………………………………………………………… 9 3.2 LED显示电路…………………………………………………………………………… 12 3.3 键盘控制电路…………………………………………………………………………… 14 第四章数字钟的软件设计 4.1 系统软件设计流程图…………………………………………………………………… 15 4.2 数字电子钟的原理图…………………………………………………………………… 18 4.3 主程序…………………………………………………………………………………… 19 4.4 时钟设置子程序………………………………………………………………………… 20 4.5 定时器中断子程序……………………………………………………………………… 20 4.6 LED显示子程序………………………………………………………………………… 21 4.7 按键控制子程序………………………………………………………………………… 23 第五章系统仿真 5.1 PROTUES软件介绍................................................................................. 24 5.2 电子钟系统PROTUES仿真........................................................................ 24 结束语. (2) 5 参考文献 (26) 2 第一章绪论 1.数字电子钟的意义和应用 数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,广泛用于个人家庭、车站、码头、办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用

单片机秒表设计程序及原理图

单片机秒表系统设计 引言:中国使用单片机的历史只有短短的30年,在初始的短短五年时间里发展极为迅速。纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。以前没有单片机时,这些东西也能做,但是只能使用复杂的模拟电路,然而这样做出来的产品不仅体积大,而且成本高,并且由于长期使用,元器件不断老化,控制的精度自然也会达不到标准。在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在单片机外围接一点简单的接口电路,核心部分只是由人为的写入程序来完成。这样产品的体积变小了,成本也降低了,长期使用也不会担心精度达不到了。所以,它的魔力不仅是在现在,在将来将会有更多的人来接受它、使用它。据统计,我国的单片机年容量已达3亿片,且每年以大约20%的速度增长,但相对于世界市场我国的占有率还不到1%。特别是沿海地区的玩具厂等生产产品多数用到单片机,并不断地辐射向内地。所以,学习单片机在我国是有着广阔前景的。 一、单片机秒表系统设计目的及内容 1、利用单片机定时器/计数器中断设计秒表,从而实现秒、十分

之一秒的计时。 2、综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、通过本次系统设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握,对单片机实际的应用作进一步的了解。 4、通过本次系统设计,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 本系统利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用AT89C51单片机、LED数码管以及控件来控制秒表的计数以及计数的开启/暂停/继续与复位! 其中有两个数码管用来显示数据,一个数码管显示秒(两位),另一个数码管显示十分之一秒,十分之一秒的数码管计数从0~9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用两位的数码管,当计数超过范围是所有数码管全部清零重新计数。 二、系统设计所需硬件(模拟硬件) Atmel89C51单片机芯片一个、LED数码显示管三个,低压电源、开关(按钮)两个、电阻、电容及导线若干。芯片介绍:AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能

基于stm32单片机的数字秒表设计

基于stm32单片机的数字秒表设计 摘要:本设计用stm32单片机搭以其它硬件组成数字电子秒表,采用主程序设计,通过数码管显示计时结果。对硬件电路和软件进行设计,并进行仿真测试, 结果表明,计时准确,结构简单,稳定性强。 关键词:STM32;单片机;数字秒表 一、研究原理 在刚通电后打开电源开关,使系统初始化,此时计时器显示为00.00.00,按 下开关开始计时时,stm32单片机接收到外部中发来的中断请求后,转到开启 TIM2定时器。计时是靠TIM2定时器的中断来完成的,TIM2定时器时钟为72Mhz,定时器预分频为72分频,即时钟的72个周期,每1微妙定时器自加1,溢出值 设定为999,即从0-999的共1000次,每1毫秒发生一次定时器中断,每当一处 定时溢出是就向TIM发出中断请求,实现数据的累加,达到10次就实现进位加一,以此类推,直到实现最大计时23小时59分59.99秒后复位。在定时器工作 过程中,外部按下暂停键,信息会传送到TIM2的捕获输入引脚,CPU就收到捕 获中断请求执行定时器捕获中断的程序,显示数据,并将数据存到寄存区内。在 暂停后,对PA0进行扫描,如果按下就回到主程序,准备开始新的计时。在暂停 健被按下时,此时显示时间被存到缓存内,再按下暂停键,再次继续计时时,上 次显示的计时时间从缓存区转到最终存储区。在秒表停止计时后扫描查看键PA2,PA2口是高电平,就查看最近的一次计时缓存,是低电平就调用最终缓存区的计 时数据查看前面的计时数据。从而实现多次计时和查看前面计时数据的功能。 二、硬件设计设计 成品由硬件电路和软件程序协调合一组成。硬件电路由显示电路、电源电路、控制电路、主控电路等组成。主控电路以STM32为主,显示电路则用1602来作 为显示工具。本秒表利用STM32单片机的定时的定时原理,来达到精确计时的目标。开始和暂停的功能靠的是单片机的中断系统。在单片机的几个接口中,PB口为输出口,输出计时数据,列扫描的输出则是安排在PB0-PB4口,三个按钮开关 接口为PA0、PA1、PA2,功能依次为开始、暂停、存储和查看前面的计时数据。 电路原理图在正确无误的情况下,尽力做到布局合理,美观大方。 2.1按键电路的选择与设计 设计的秒表有4个按键,其中三个是主系统电路控制按键,一个是电源开关。三个主控按键分别是开始、暂停、查看和复位功能键。电源键用来将数据重置, 查询键则是用来查询前面的计时数据,三个按键都是低电平有效。当三个主控键 每按下电源与单片机的I/O口直接相接,为了限流接了一个10kΩ的电阻作为上 拉电阻,使次电流保证在安全范围内。有两个按键是采用捕获输入法,用到TIM2定时器的捕获输入功能。 2.2复位电路的选择与设计 对于复位电路,设计中采用的是高电平复位,就是当复位引脚接到两虚两个 高电平时,完成复位操作。但不能持续高电平,因为这样的话,就会不停地执行 复位操作,不能进行其他命令。所以单片机要有足够的余量以应付单片机的其他 要求,并达到复位后不循环,可继续执行其他操作的要求。复位操作有两种:上 电复位、手动复位。上电复位是再接上电源后打开电源开关,系统自动初始化程。

51单片机秒表程序设计报告

单片机程序设计报告 题目: 秒表设计 班级: 姓名: 学号: 指导老师: 时间:

一、课题任务要求 用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。即数码显示管在原先的计数上快速加一。 二、设计思路 1、使用单片机,设计秒表,能显示分分秒秒; 2、使用三个按键停止,开始,复位,其中“开始”按键当开关由上向下拨时开始计时,此时若再拨“开始”按键则数码管暂停;“清零”按键当开关由上向下拨时数码管清零,此时若再拨“开始”按键则又可重新开始计时; 3、使用液晶或数码管显示; 4、使用定时器中断。 三、硬件设计 3.1、单片机介绍 单片机:AT89C51是一种带4K字节闪存可编程可擦除只读存储器的低电压、高性能CMOS 8位微处理器。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。 AT89C51主要特性: ·与MCS-51 兼容 ·低功耗的闲置和掉电模式 ·4K字节可编程闪烁存储器 ·全静态工作:0Hz-24MHz ·寿命:1000写/擦循环 ·数据保留时间:10年 ·三级程序存储器锁定 ·128×8位内部RAM ·片内振荡器和时钟电路

·32可编程I/O线 ·两个16位定时器/计数器 ·5个中断源 ·可编程串行通道 3.2管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL 门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为AT89C51的一些特殊功能口。

基于单片机的数字秒表设计

基于单片机的数字秒表设计 摘要 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的数字电子秒表设计。本设计主要特点是计时精度达到0.01s,解决了传统的由于计时精度不够造成的误差和不公平性,是各种体育竞赛的必备设备之一。 本设计的数字电子秒表系统采用80C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现六位LED显示,显示时间为0~59:59:99秒,计时精度为0.01秒,能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用汇编语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在WAVE中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机;数字电子秒表;仿真

目录 摘要 (1) 绪论 (3) 1 硬件设计 (4) 1.1 总体方案的设计 (4) 1.2 单片机的选择 (5) 1.3 显示电路的选择与设计 (8) 1.4 按键电路的选择与设计 (11) 1.5 时钟电路的选择与设计 (12) 1.6 复位电路的选择与设计 (14) 1.7 系统总电路的设计 (16) 2 软件设计 (17) 2.1 程序设计思想 (18) 2.2 系统资源的分配 (18) 2.3 主程序设计 (18) 2.4 中断程序设计 (20) 3 数字电子秒表的安装与调试 (24) 3.1 软件的仿真与调试 (24) 3.2 硬件的安装与调试 (24) 3.3 系统程序的烧录 (25) 3.4 数字电子秒表的精度调试 (26) 结论 (27) 参考文献 (29) 附录A 程序 (30) 附录B 电路原理图 (35)

51单片机秒表程序设计

51单片机秒表程序设计 1. 简介 秒表是一种用于测量时间间隔的计时器,常见于体育比赛、实验室实验等场合。本文将介绍如何使用51单片机设计一个简单的秒表程序。 2. 硬件准备 •51单片机开发板 •LCD液晶显示屏 •按键开关 •连接线 3. 程序流程 3.1 初始化设置 1.设置LCD液晶显示屏为8位数据总线模式。 2.初始化LCD液晶显示屏。 3.设置按键开关为输入模式。 3.2 主程序循环 1.显示初始界面,包括“00:00:00”表示计时器初始值。 2.等待用户按下开始/暂停按钮。 3.如果用户按下开始按钮,则开始计时,进入计时状态。 4.如果用户按下暂停按钮,则暂停计时,进入暂停状态。 5.在计时状态下,每隔1毫秒更新计时器的数值,并在LCD液晶显示屏上显示 出来。 6.在暂停状态下,不更新计时器的数值,并保持显示当前数值。 3.3 计时器控制 1.定义一个变量time用于存储当前的计时器数值,单位为毫秒。 2.定义一个变量running用于标记计时器的状态,0表示暂停,1表示运行。 3.定义一个变量start_time用于存储计时器开始的时间点。 4.定义一个变量pause_time用于存储计时器暂停的时间点。 5.在计时状态下,每隔1毫秒更新time的值为当前时间与start_time的差值, 并将其转换为小时、分钟、秒的表示形式。 6.在暂停状态下,保持time的值不变。

3.4 按键检测 1.检测按键开关是否被按下。 2.如果按键被按下,判断是开始/暂停按钮还是复位按钮。 3.如果是开始/暂停按钮,并且当前处于计时状态,则将计时状态设置为暂停 状态,并记录暂停时间点为pause_time;如果当前处于暂停状态,则将计时状态设置为运行状态,并记录开始时间点为当前时间减去暂停时间的差值。 4.如果是复位按钮,则将计时器数值重置为0,并将计时状态设置为暂停。 4. 程序代码示例 #include // 定义LCD控制端口和数据端口 sbit LCD_RS = P1^0; sbit LCD_RW = P1^1; sbit LCD_EN = P1^2; sbit LCD_D4 = P1^3; sbit LCD_D5 = P1^4; sbit LCD_D6 = P1^5; sbit LCD_D7 = P1^6; // 定义按键开关端口 sbit START_PAUSE_BTN = P2^0; sbit RESET_BTN = P2^1; // 定义全局变量 unsigned int time = 0; // 计时器数值,单位为毫秒 bit running = 0; // 计时器状态,0表示暂停,1表示运行 unsigned long start_time = 0; // 开始时间点 unsigned long pause_time = 0; // 暂停时间点 // 函数声明 void delay(unsigned int ms); void lcd_init(); void lcd_command(unsigned char cmd); void lcd_data(unsigned char dat); void lcd_string(unsigned char *str); void lcd_clear(); void lcd_gotoxy(unsigned char x, unsigned char y); // 主函数 void main() { // 初始化设置 lcd_init();

单片机秒表设计

一、单片机的功能 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器 RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 MCS-51系列单片机,其主要功能如下: ·8位CPU·4kbytes 程序存储器(ROM) ·128bytes的数据存储器(RAM) ·32条I/O口线 ·111条指令,大部分为单字节指令 ·21个专用寄存器 ·2个可编程定时/计数器 ·5个中断源,2个优先级 ·一个全双工串行通信口 ·外部数据存储器寻址空间为64kB ·逻辑操作位寻址功能 ·双列直插40PinDIP封装 ·单一+5V电源供电 40个引脚按引脚功能大致可分为4个种类:电源、时钟、控制和I/O引脚。 ⒈ 电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; 注:用万用表测试单片机引脚电压一般为0v或者5v,这是标准的TTL电平。但有时候在单片机程序正在工作时候测试结果并不是这个值而是介于0v-5v之间,其实这是万用表的响应速度没这么快而已,在某一个瞬间单片机引脚电压仍保持在0v或者5v。 ⒉ 时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

⒊ 控制线:控制线共有4根, ⑴ ALE/PROG:地址锁存允许/片内EPROM编程脉冲 ① ALE功能:用来锁存P0口送出的低8位地址 ② PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。 ⑵ PSEN:外ROM读选通信号。 ⑶ RST/VPD:复位/备用电源。 ① RST(Reset)功能:复位信号输入端。 ② VPD功能:在Vcc掉电情况下,接备用电源。 ⑷ EA/Vpp:内外ROM选择/片内EPROM编程电源。 ① EA功能:内外ROM选择端。 ② Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。 ⒋ I/O线 80C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。 P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线) 5. P3口第二功能 P30 RXD 串行输入口 P31 TXD 串行输出口 P32 INT0 外部中断0(低电平有效) P33 INT1 外部中断1(低电平有效) P34 T0 定时计数器0 P35 T1 定时计数器1 P36 WR 外部数据存储器写选通(低电平有效) P37 RD 外部数据存储器读选通(低电平有效) 二、设计思路 1、使用单片机,设计秒表,能显示分分秒秒; 2、使用三个按键停止,开始,复位,其中“开始”按键当开关由上向下拨时开始计 时,此时若再拨“开始”按键则数码管暂停;“清零”按键当开关由上向下拨时数码管清零,此时若再拨“开始”按键则又可重新开始计时; 3、使用液晶或数码管显示; 4、使用定时器中断; 三、功能实现及描述

单片机秒表课程设计

1 实验内容及要求 1.1 实验内容 用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。另设计一个“开始”按键和一个“复位”按键。再增加一个“暂停”按键和一个“快加”按键(每10ms快速加一)。按键说明:按“开始”按键,开始计数,数码管显示从00开始每秒自动加一;按“复位”按键,系统清零,数码管显示00;按“暂停”按键,系统暂停计数,数码管显示当时的计数;按“快加”按键,系统每10ms快速加一,即数码显示管在原先的计数上快速加一。 1.2 实验要求 了解8051芯片的的工作原理和工作方式,使用该芯片对LED数码管进行显示控制,实现用单片机的端口控制数码管,显示分、秒,并能用按钮实现秒表起动、停止、清零功能,精确到0.1秒。 要求选用定时器的工作方式,画出使用单片机控制LED数码管显示的电路图,并在实验箱实现其硬件电路,并编程完成软件部分,最后调试秒表起动、停止、清零功能。 2 单片机的选用及主机系统电路的设计 2.1单片机的选用: Atmel89C51单片机的主要特点: ·4K字节可编程闪烁存储器 ·寿命:1000写/擦循环 ·数据保留时间:10年 ·全静态工作:0Hz-24Hz ·三级程序存储器锁定 ·128*8位内部RAM

·32可编程I/O线 ·两个16位定时器/计数器 ·5个中断源 ·可编程串行通道 2.2单片机主机系统电路的设计 图4 单片机主机系统电路

3 软件设计分析 3.1 程序流程图: (1)定时器1程序 用定时器0实现定时1秒,定时器1实现定时10毫秒,定时初值都是0D8F0H,这里只写定时1秒的流程图,如下所示: 图5 定时1秒流程图 (2)主程序:采用分支结构,通过对按键的扫描,判断要实现什么功能,然后通过调用子程序来实现所需要的功能。

AT89C51单片机课程设计_秒表计数器

目录 第一章概述 (2) 1。1设计任务 (2) 1.2设计要求 (2) 1.3设计内容提要 (2) 第二章原理图及元件清单 (3) 2.1单片机原理图 (4) 2。2单片机部分元件图 (4) 2.2元器件选取 (5) 第三章单片机各引脚定义 (5) 3.1 51系列单片机 (6) 3。2单片机各引脚定义表 (6) 第四章程序流程图 (6) 4。1 程序流程图 (7) 第五章源程序及仿真图 (7) 5.1 C语言源程序 (7) 5。2 Keil仿真 (11) 第六章心得体会 (9) 第七章参考文献 (10)

第一章概述 1.1设计任务 设计一个单片机控制的秒表系统,利用单片机的定时器/计数器的原理,结合现实电路,LED数码管以及按键来设计计时器。讲软硬件有机的结合起来,是的系统能够正确的实现开始暂停清零加速等功能,数码管能够正确的现实时间。 1.2设计要求 1.采用外部中断0和1,控制两位数码管进行00—99的技术,其中外部中断0控制进行减1计数,外部中断1进行加1计数。 1。3设计内容提要 本系统采用AT89C51单片机为中心器件,利用其定时器/计时器定时和计数的原理,结合硬件电路如电源电路、晶振电路、复位电路、显示电路,以及一些按键电路等来设计计时器,净软硬件有机的结合起来,其中软件系统采用汇编语言编写程序,包括显示程序,快加程序,暂停,按键消抖程序等,硬件系统利用Keil强大的功能来时间,简单且易观察。 图1.3系统电路原理

第二章原理及元件清单2。1单片机原理图 利用Keil画出原理图, 2.2 单片机部分元件图图2。2(1)7SEG-MPX2—CA原理图

单片机电子秒表设计Proteus

单片机电子秒表设计(Proteus)

————————————————————————————————作者:————————————————————————————————日期:

单片机硬件设计结课论文简易秒表设计 专业:计算机科学与技术 学生姓名: 学号: 1307064248 完成时间:2019年10月2日

目录 一、简述 (4) 二、主要工具 (1) 三、线路连接图(ISIS 7 Professional环境) (1) 四、实现细则 (2) 显示电路 (2) 定时计数器 (2) 五、程序 (2) 六、模拟运行截图 (10) 七、心得体会 (10)

一、简述 此秒表主要实现的功能是利用单片机内部定时计数器实现计时,然后通过LED组件显示出来。因为这次设计时使用的是并排的6个数字显示LED,所以在计时时精确到10ms,最大即时59分59秒99。 实现过程中的主要部分包含显示和定时。因为该LED与单片机相接的引脚只有14个,其中8个接在P0口上实现字形的显示,剩下的6个接在P2口用于选择6个数字型LED中的一个显示,所以每次只能显示一个数字。要实现多个数字的显示需要快速显示每个LED,利用人的视觉差来实现多个数字同时显示。 计时只用到定时计数器T1(因为需要配合中断优先级,故没有使用T0,下文会详细讲到)。 另外还用到了两个按键,和两个LED灯(红、绿)。按键用于控制开始计时和暂停、重置,LED灯用于指示当前工作状态。 二、主要工具 Keil uVision3,ISIS 7 Professional,AT89C51基础组件(试验箱)。 三、线路连接图(ISIS 7 Professional环境)

基于单片机的秒表课程设计

基于单片机的秒表课程设计 : 班级: 学号: 专业: 指导老师: 年月日

目录1、总体设计方案简介 2、硬件设计 3、软件设计 4、元件清单 5、心得体会

基于单片机的秒表课程设计 摘要 本设计的成品是在单片机最小系统的基础上增加显示电路和控制电路来完成数字式秒表的硬件电路的。电子秒表电路主要由AT89S51单片机最小系统电路、七段数码管动态显示电路和控制电路组成,它能实现八段数码显示和计时,能通过控制电路控制时间的暂停和开始。 关键字:AT89S51 数码管最小系统 1总体设计方案简介 设计一个具有特定功能的数字式秒表。用AT89C52设计一个2位LED数码显示“秒表”,显示时间为00-59,另设计一个“开始”按钮和一个“复位”按钮。按键说明:按“开始”按键,开始计数,数码管从00开始每秒自动加一;按“复位”按键,系统清零,数码管显示00。 设计的电路主要是能多次计时,计时的多少通过显示电路出来,设计框图如下图;

利用AT89C52单片机设计数显定时器。此方案采用AT89C52单片机系统来实现。AT89C52芯片内含8KB的EEPROM,不需要外扩展存储器,可是系统整体结构更为简单。设计框图如下图; 此方案是以AT89C52芯片为中心控制系统,可实现计时、清零等功能,大大提高了系统的智能化,也是的系统所测结果精度大大提高。所以此方案可行。 2硬件设计 AT89S52是一种低功耗、高性能的片内含有4KB快闪可编程/擦除只读存储器,的8位CMOS微控制器,使用高密度、非易失存储技术制

造,并与80S52引脚和指令系统完全兼容。 主要性能: 与MCS-51微控制器产品系列兼容。 片内有4KB可在线重复编程的快闪擦写存储器 存储数据保存时间为10年 全静态工作:可从0Hz至16MHz 程序存储器具有3级加密保护 128*8位内部RAB 32条可编程I/O线 两个16位定时器/计数器 中断结构具有5个中断源和2个优先级 可编程全双串行通道 空闲状态维持低功耗和掉电状态保存存储内容 时钟引脚:XTAL1和XTAL2与内部的反相放大器构成一个振荡器,它提供单片机的时钟控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 P2口:P2.6和P2.7端口分别控制数码管的十位和个位供电,当相应的端口变成低电平时,驱动相应的三极管会导通,+5V通过三极管给

89C52单片机的秒表设计

单片机/微机接口课程设计说明书 单片机原理与应用课程设计说明书 题目:89C52单片机的秒表设计 系部:信息与控制工程学院 专业:电子信息工程 班级:二班 学生姓名: 苌金超学号: 1003134022 指导教师:张雪岩 2012年12 月5 日

目录 1 设计任务与要求 2 设计方案 2.1 整体设计思路 2.2 74LS164(8位并行输出串行移位寄存器) 2.3 数码管动态显示原理 2.4 数码管动态显示程序设计 2.5 单片机中断系统结构及工作原理 3 硬件电路设计 3.1 电源电路 3.2 晶体振荡电路 3.3 复位电路 4 软件设计概述 5 主程序 5.1 用定时器编写一个秒计时器 5.2 主流程图 5.3 程序设计 6 调试过程 7 结论 8 参考文献

1 设计任务与要求 (1)理解数码管动态显示的原理 (2)掌握数码管动态显示程序的设计方法 (3)掌握74LS164 扩展端口的方法 (4)掌握单片机定时器与中断系统的使用方法 (5)掌握利用单片机设计秒表的基本方法(后两个数码管模拟演示秒 表0~99,当达到100s时第一个数码管显示1) (6)熟练硬件电路的焊接 2 设计方案 2.1整体设计思路如下: 通过89c52单片机控制段码,位码输出。采用定时器中断方式实现动态扫描,每隔20ms扫描一次,每位数码管点亮的时间为1ms。在单片机内部RAM设置待显示数据缓冲区,由查表程序完成显示译码。 2.274LS164(8位并行输出串行移位寄存器) 74LS164为8位移位寄存器,管脚定义如下图1所示:

图1 74LS164管脚图 逻辑及封装图 双列直插封装 CLOCK 时钟输入端 CLEAR 同步清除输入端(低电平有效) A ,B 串行数据输入端 QA-QH 为输出端 当清除端(CLEAR )为低电平,输出端(QA-QH )均为低电平;串行数据输入端(A ,B )可控制数据。当A ,B 任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK )脉冲上升沿作用下QO 为低电平;当A ,B 有一个为高电平。则另一个就允许输入数据,并在CLOCK 上升沿作用下决定QO 的状态。 极限值 电源电压 7V 输入电压 5.5V 工作环境温度 74LS164 -0~70℃ 储存温度 -65℃~150℃ A 1B 2 QA 3QB 4QC 5QD 6CLK 8CLR 9QE 10QF 11QG 12QH 13 U374LS164

基于51单片机的数字秒表设计

摘要 本设计是基于AT89S51单片机的简易数字秒表设计,主要组成是以51单片机最小系统为核心,通过运用单片机的振荡电路实现计时同时用数码管同步显示。本秒表最大计时为99秒。本设计的特点是:大部分功能通过软件实现,使电路简单明了,系统稳定性好。 关键词:AT89S51 振荡电路计时数码管

目录 1设计概述 (1) 1.1AT89S51概述 (1) 1.2系统设计功能概述 (1) 2系统设计 (2) 2.1设计思路 (2) 2.2硬件设计 (2) 2.2.1单片机最小系统的设计 (2) 2.2.2数码管显示电路设计 (3) 2.3软件设计 (7) 2.3.1软件设计流程图 (7) 2.3.2消除开关抖动 (9) 2.3.3数码管延时显示程序 (9) 2.3.4延时1秒的程序 (10) 3软件调试和结果 (10) 3.1软件调试与下载 (10) 3.2硬件仿真 (11) 4心得体会 (12) 参考文献 (14) 附录 (15) I基于单片机的数字秒表设计主程序 (15) IIPCB电路图 (17) III实物图 (17)

1 1 设计概述 1.1 AT89S51概述 AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In -system programmable)的可反复擦写1000次的Flash 只读程序存储器,器件采用ATMEL 公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash 存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S51具有如下特点:40个引脚,4k Bytes Flash 片内程序存储器,128 bytes 的随机存取数据存储器(RAM ),32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT )电路,片内时钟振荡器。 AT89S51引脚图 在实际应用中,因为STC 的单片机比AT 的单片机更加容易下载程序,它们的端口是一模一样的,所以本次设计实际应用的是STC51单片机。 1.2 系统设计功能概述 本设计展现的是一个计时用的秒表。功能为两位七段数码管在开机时显示“00“,并在系统中添加一个按钮开关。 当第一次按下按钮开关后秒表开始计时,

(完整版)基于51单片机的秒表设计1

实训报告 题目秒表系统的设计 年级专业 班级学号 姓名 地点 日期

目录 一,目⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 3 二,系硬件⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 4 三,系件⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯7 四,系与果⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯12 五,片机小⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯13

设计目标 最近几年来跟着科学技术的发展,单片机的应用范围愈来愈广,也成为好多专业的必修课。本文简单论述了鉴于单片机的秒表设计。本设计的主要特色是计时精度达到 0.01 秒,能够用来为各样体育比赛计时等。 本设计的数字秒表采纳AT89才 51 单片机为主要器件,利用其准时器的原理, 结LED数码管以及外面中止电路来设计计时器。将软硬件联合起来,使得系统能实现 0~99.99 秒的计时,计时精度位 0.01 秒。当按下一个键 1 时,开始显示数字,即计时开始,再按下键 2 时,暂停计时并显示方才的结果,这个时候假如再 按键 1,则持续计时,也就是显示的数字包含方才的数据。按下键 3 时,数据清 零。

系统硬件设计 1 、1整体方案的设计 数字秒表拥有显示直观、读取方便、精度高等长处,在计时中宽泛应用。 本设计顶用单片机和数码管构成数字秒,力争构造简单。 设计中包含硬件电路的设计和系统程序的设计。硬件电路主要有主控制器、 控制按钮与显示电路构成。主控制器采纳单片机AT89才 51,显示电路采纳四位 共阴极数码管显示计不时间。 本设计利用 AT89才 51 单片机的准时器,使其能精准计时。利用键盘上的独立按 键实现开始计时和暂停以及清零。P0口输出段码数据, P2.0~P2.2 连上译码器作 为位选。设计的基本要求是正确性。 计时器采纳 T0 中止实现,准时溢出中止周期为1ms,当溢出中止后向CPU 发出溢出中止恳求,每发出10 次中止恳求就对 10ms位(即最后一位)加一,达 到 100 次就对 100ms位加一,以此类推,直到99.99s 为止。 单片机的选择 本设计在选用单片机时,充足借鉴了很多成型产品使用单片机的经验。并依 据自己的实质状况,采纳了 ATMEL企业的 AT89才 51。 ATMEL企业的89系列单片机以其优秀的性能、完美的兼容性、快捷便利的 电擦写操作、便宜的价钱完整代替了87C51/62 和 8751/52 ,低电压、低功耗, 有 DIP、PLCC、QFP封装,是当前性能最好、价钱最低、最受欢迎的单片机之一。 AT89才 51 为 40 脚双列直插封装的 8 位通用微办理器,采纳工业标准的 C51 内核,在内部功能及管脚排布上与通用的 8XC52同样,其主要用于汇聚调整时的功 能控制。功能包含对汇聚主 IC 内部存放器、数据 RAM及外面接口等功能零件 的初始化,汇聚调整控制,汇聚测试图控制等。 单片机外面构造 (1)主电源引脚 Vss 和 Vcc: Vss 接地, Vcc 正常操作时为 +5V接 地。外接晶振引脚 XTAL1和 XTAL2 a、XTAL1 内部振荡电路反相放大器的输出端,是外接晶体的一个引脚。当采 纳外面振荡时,此引脚接地。

基于单片机的八位数码管秒表计数设计

绪论 (1) 第一节 Proteus仿真软件简介 (3) 1.1 概述 (3) 1.2 Proteus软件的优点 (4) 第二节电路结构 (4) 2.1实验要求 (4) 2.2 89c51系列单片机件简介 (4) 2.2.1.单片机的基本组成 (4) 2.3 数码管 (4) 2.3.1 数码管的特点 (4) 2.3.2 数码管的驱动方式 (5) 2.3.3 数码管的主要参数 (5) 2.3.4 数码管的应用 (5) 第三节 Proteus软件绘制原理图 (6) 3.1 进入Proteus ISIS (6) 3.2 工作界面 (6) 3.3选择课题所需要的材料 (7) 3.4程序流程图 (10) 3.5程序的设计与修改 (16) 第四节 Proteus仿真 (17) 4.1 仿真设置 (17) 4.2 程序调入 (18) 4.3 仿真结果 (19) 第五节XL600实验板设计验证 (20) 实训小结 (24)

数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM 端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。 数码管是一种显示屏,可以通过对其不同的管脚输以相对的电流,并使其发亮,发光从而显示出数字能够显示出的时间,日期,温度等有可用数字表示的参数,由于它的价格便宜,使用简单,在电器,特别是家电领域应用极为广泛,在空调,热水器冰箱等等绝大多数电器产品用的都是数码管,其它家电也用,液晶屏与显示屏等等。 数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按能显示多少个“8”可分为1位、2位、4位等等数码管;按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。 51单片机能够展示8位数码管的动态应用,本课题也意在利用单片机来显示8位数码管的动态显示以下为本本课题的研究过程。

相关主题