搜档网
当前位置:搜档网 › 第七章 半导体存储器

第七章 半导体存储器

第七章 半导体存储器
第七章 半导体存储器

第七章 半导体存储器

数字信息在运算或处理过程中,需要使用专门的存储器进行较长时间的存储,正是因为有了存储器,计算机才有了对信息的记忆功能。存储器的种类很多,本章主要讨论半导体存储器。半导体存储器以其品种多、容量大、速度快、耗电省、体积小、操作方便、维护容易等优点,在数字设备中得到广泛应用。目前,微型计算机的内存普遍采用了大容量的半导体存储器。

存储器——用以存储一系列二进制数码的器件。 半导体存储器的分类

根据使用功能的不同,半导体存储器可分为随机存取存储器(RAM —Random Access Memory )和只读存储器(ROM —Read-Only memory )。

按照存储机理的不同,RAM 又可分为静态RAM 和动态RAM 。 存储器的容量

存储器的容量=字长(n )×字数(m )

7.1随机存取存储器(RAM )

随机存取存储器简称RAM ,也叫做读/写存储器,既能方便地读出所存数据,又能随时写入新的数据。RAM 的缺点是数据的易失性,即一旦掉电,所存的数据全部丢失。

一. RAM 的基本结构

由存储矩阵、地址译码器、读写控制器、输入/输出控制、片选控制等几部分组成。

存储矩阵

读/写控制器

地址译码器

址码输片选读/写控制输入/输出

图7.1—1 RAM 的结构示意框图

2 1. 存储矩阵

RAM 的核心部分是一个寄存器矩阵,用来存储信息,称为存储矩阵。

图7.1—5所示是1024×1位的存储矩阵和地址译码器。属多字1位结构,1024个字排列成32×32的矩阵,中间的每一个小方块代表一个存储单元。为了存取方便,给它们编上号,32行编号为X 0、X 1、…、X 31,32列编号为Y 0、Y 1、…、Y 31。这样每一个存储单元都有了一个固定的编号(X i 行、Y j 列),称为地址。

1

1

1

1

31

31

131********

列 译 码 器

行译码器

..

.........位线

位线

位线

位线

位线

位线

.

..

.

.

.

.

X X X Y Y Y 0

1

31

131

A A A A A A A A A A 地 址 输 入

地址

输入

012

34

5

67

89

D D

数据线

.

..

.

图7.1-5 1024×1位RAM 的存储矩阵

2. 址译码器

址译码器的作用,是将寄存器地址所对应的二进制数译成有效的行选信号和列选信号,从而选中该存储单元。

存储器中的地址译码器常用双译码结构。上例中,行地址译码器用5输入32输出的译码器,地址线(译码器的输入)为A 0、A 1 、…、A 4,输出为X 0、X 1、…、X 31;列地址译码器也用5输入32输出的译码器,地址线(译码器的输入)为A 5、A 6 、…、A 9,输出为Y 0、Y 1、…、Y 31,这样共有10条地址线。例如,输入地址码A 9A 8A 7A 6A 5A 4A 3A 2A 1A 0=0000000001,则行选线X 1=1、列选线Y 0=1,选中第X 1行第

3

Y0列的那个存储单元。从而对该寄存器进行数据的读出或写入。

3. 读/写控制

访问RAM时,对被选中的寄存器,究竟是读还是写,通过读/写控制线进行控制。如果是读,则被选中单元存储的数据经数据线、输入/输出线传送给CPU;如果是写,则CPU将数据经过输入/输出线、数据线存入被选中单元。

一般RAM的读/写控制线高电平为读,低电平为写;也有的RAM读/写控制线是分开的,一根为读,另一根为写。

4. 输入/输出

RAM通过输入/输出端与计算机的中央处理单元(CPU)交换数据,读出时它是输出端,写入时它是输入端,即一线二用,由读/写控制线控制。输入/输出端数据线的条数,与一个地址中所对应的寄存器位数相同,例如在1024×1位的RAM中,每个地址中只有1个存储单元(1位寄存器),因此只有1条输入/输出线;而在256×4位的RAM中,每个地址中有4个存储单元(4位寄存器),所以有4条输入/输出线。也有的RAM输入线和输出线是分开的。RAM的输出端一般都具有集电极开路或三态输出结构。

5. 片选控制

由于受RAM的集成度限制,一台计算机的存储器系统往往是由许多片RAM组合而成。CPU访问存储器时,一次只能访问RAM中的某一片(或几片),即存储器中只有一片(或几片)RAM中的一个地址接受CPU访问,与其交换信息,而其他片RAM与CPU 不发生联系,片选就是用来实现这种控制的。通常一片RAM有一根或几根片选线,当某一片的偏选线接入有效电平时,该片被选中,地址译码器的输出信号控制该片某个地址的寄存器与CPU接通;当片选线接入无效电平时,则该片与CPU之间处于断开状态。

6. RAM的输入/输出控制电路

图7.1—2给出了一个简单的输入/输出控制电路。

D

I/O

/W

S

图7.1—2 输入/输出控制电路

当选片信号CS=1时,G5、G4输出为0,三态门G1、G2、G3均处于高阻状态,输

4

入/输出(I/O)端与存储器内部完全隔离,存储器禁止读/写操作,即不工作。

当CS=0时,芯片被选通:

当W

R/=1时,G5输出高电平,G3被打开,于是被选中的单元所存储的数据出现在I/O端,存储器执行读操作;

当W

R/=0时,G4输出高电平,G1、G2被打开,此时加在I/O端的数据以互补的形式出现在内部数据线上,并被存入到所选中的存储单元,存储器执行写操作。

7. RAM的工作时序

为保证存储器准确无误地工作,加到存储器上的地址、数据和控制信号必须遵守几个时间边界条件。

图7.1—3示出了RAM读出过程的定时关系。读出操作过程如下:

(1)欲读出单元的地址加到存储器的地址输入端;

(2)加入有效的选片信号CS;

(3)在W

R/线上加高电平,经过一段延时后,所选择单元的内容出现在I/O端;

(4)让选片信号CS无效,I/O端呈高阻态,本次读出过程结束。

由于地址缓冲器、译码器及输入/输出电路存在延时,在地址信号加到存储器上之后,必须等待一段时间t AA,数据才能稳定地传输到数据输出端,这段时间称为地址存取时间。如果在RAM的地址输入端已经有稳定地址的条件下,加入选片信号,从选片信号有效到数据稳定输出,这段时间间隔记为t ACS。显然在进行存储器读操作时,只有在地址和选片信号加入,且分别等待t AA和t ACS以后,被读单元的内容才能稳定地出现在数据输出端,这两个条件必须同时满足。图中t RC为读周期,他表示该芯片连续进行两次读操作必须的时间间隔。

ADD

CS

I/O

t

t

t

R C

ACS AA

(地址)读出单元的地址

输出数据

图7.1—3 RAM读操作时序图

写操作的定时波形如图7.1—4所示。写操作过程如下:

5

(1) 将欲写入单元的地址加到存储器的地址输入端; (2) 在选片信号CS 端加上有效电平,使RAM 选通; (3) 将待写入的数据加到数据输入端;

(4) 在W R /线上加入低电平,进入写工作状态; (5) 使选片信号无效,数据输入线回到高阻状态。

由于地址改变时,新地址的稳定需要经过一段时间,如果在这段时间内加入写控制信号(即W R /变低),就可能将数据错误地写入其他单元。为防止这种情况出现,在写控制信号有效前,地址必须稳定一段时间t AS ,这段时间称为地址建立时间。同时在写信号失效后,地址信号至少还要维持一段写恢复时间t WR 。为了保证速度最慢的存储器芯片的写入,写信号有效的时间不得小于写脉冲宽度t WP 。此外,对于写入的数据,应在写信号t DW 时间内保持稳定,且在写信号失效后继续保持t DH 时间。在时序图中还给出了写周期t WC ,它反应了连续进行两次写操作所需要的最小时间间隔。对大多数静态半导体存储器来说,读周期和写周期是相等的,一般为十几到几十ns 。

t W C

写入单元的地址

ADD t W P

CS

R/W

I/O

写入数据

AS

t W R

t

DW

t DH

t

图7.1—4 RAM 写操作时序图

二. RAM 的存储单元

存储单元是存储器的核心部分。按工作方式不同可分为静态和动态两类,按所用元件类型又可分为双极型和MOS 型两种,因此存储单元电路形式多种多样。

1、六管NMOS 静态存储单元

由六只NMOS 管(T 1~T 6)组成。T 1与T 2构成一个反相器,T 3与T 4构成另一个反相器,两个反相器的输入与输出交叉连接,构成基本触发器,作为数据存储单元。

T 1导通、T 3截止为0状态,T 3导通、T 1截止为1状态。

6

T5、T6是门控管,由X i线控制其导通或截止,他们用来控制触发器输出端与位线之间的连接状态。T7、T8也是门控管,其导通与截止受Y i线控制,他们是用来控制位线与数据线之间连接状态的,工作情况与T5、T6类似。但并不是每个存储单元都需要这两只管子,而是一列存储单元用两只(见图7.1-3)。所以,只有当存储单元所在的行、列对应的X i、Y i线均为1时,该单元才与数据线接通,才能对它进行读或写,这种情况称为选中状态。

图7.1-6 六管NMOS静态存储单元

2.双极型晶体管存储单元

图7.1-8是一个双极型晶体管存储单元电路,它用两只多发射极三极管和两只电阻构成一个触发器,一对发射极接在同一条字线上,另一对发射极分别接在位线B和B上。

在维持状态,字线电位约为0.3V,低于位线电位(约1.1V),因此存储单元中导通管的电流由字线流出,而与位线连接的两个发射结处于反偏状态,相当于位线与存储器断开。处于维持状态的存储单元可以是T1导通、T2截止(称为0状态),也可以是T2导通、T1截止(称为1状态)。

当单元被选中时,字线电位被提高到2.2V左右,位线的电位低于字线,于是导通管的电流转而从位线流出。

如果要读出,只要检测其中一条位线有无电流即可。例如可以检测位线B,若存储单元为1状态,则T2导通,电流由B线流出,经过读出放大器转换为电压信号,输出为1;若存储单元为0状态,则T2截止,B线中无电流,读出放大器无输入信号,输出为0。

7

如果要写入1,则存储器输入端的1信号通过写入电路使B=1、B=0,将位线B切断(无电流),迫使T1截止,T2导通,T2的电流由位线B流出。当字线恢复到低电平后,T2电流再转向字线,而存储单元状态不变,这样就完成了写1;若要写0,则令B=0,B =1,使位线B切断,迫使T2截止、T1导通。

图7.1—8 双极型晶体管存储单元

3.四管动态MOS存储单元

动态MOS存储单元存储信息的原理,是利用MOS管栅极电容具有暂时存储信息的作用。由于漏电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。

图7.1—9所示是四管动态MOS存储单元电路。T1和T2交叉连接,信息(电荷)存储在C1、C2上。C1、C2上的电压控制T1、T2的导通或截止。当C1充有电荷(电压大于T1的开启电压),C2没有电荷(电压小于T2的开启电压)时,T1导通、T2截止,我们称此时存储单元为0状态;当C2充有电荷,C1没有电荷时,T2导通、T1截止,我们则称此时存储单元为1状态。T3和T4是门控管,控制存储单元与位线的连接。

T5和T6组成对位线的预充电电路,并且位一列中所有存储单元所共用。在访问存储器开始时,T5和T6栅极上加“预充”脉冲,T5、T6导通,位线B和B被接到电源V DD 而变为高电平。当预充脉冲消失后,T5、T6截止,位线与电源V DD断开,但由于位线上C的作用,可使位线上的高电平保持一段时间。

分布电容C B和

B

在位线保持为高电平期间,当进行读操作时,X线变为高电平,T3和T4导通,若存储单元原来为0态,即T1导通、T2截止,G2点为低电平,G1点为高电平,此时C B通过导通的T3和T1放电,使位线B变为低电平,而由于T2截止,虽然此时T4导通,位线B 仍保持为高电平,这样就把存储单元的状态读到位线B和B上。如果此时Y线亦为高

8

电平,则B、B的信号将通过数据线被送至RAM的输出端。

位线的预充电电路起什么作用呢?在T3、T4导通期间,如果位线没有事先进行预充电,那么位线B的高电平只能靠C1通过T4对

C充电建立,这样C1上将要损失掉一部

B

分电荷。由于位线上连接的元件较多,

C甚至比C1还要大,这就有可能在读一次后便

B

破坏了G1的高电平,是存储的信息丢失。采用了预充电电路后,由于位线B的电位比G1的电位还要高一些,所以在读出时,C1上的电荷不但不会损失,反而还会通过T4对C1再充电,使C1上的电荷得到补充,即进行一次刷新。

当进行写操作时,RAM的数据输入端通过数据线、位线控制存储单元改变状态,把信息存入其中。

图7.1—9 四管动态MOS存储单元

三.RAM的容量扩展

在实际应用中,经常需要大容量的RAM。在单片RAM芯片容量不能满足要求时,就需要进行扩展,将多片RAM组合起来,构成存储器系统(也称存储体)。

1.位扩展

用8片1024(1K)×1位RAM构成的1024×8位RAM系统。

9

A

A

1

R/W

C S

9

A

图7.1—12 1K×1位RAM扩展成1K×8位RAM

1.字扩展

用8片1K×8位RAM构成的8K×8位RAM。

图中输入/输出线,读/写线和地址线A0~A9是并联起来的,高位地址码A10、A11和A12经74138译码器8个输出端分别控制8片1K×8位RAM的片选端,以实现字扩展。

A

A

A

1

R

9

A

A

A

1

1

1

7

1

图7.1—13 1K×8位RAM扩展成8K×8位RAM

如果需要,我们还可以采用位与字同时扩展的方法扩大RAM的容量。

四.RAM的芯片简介

1.芯片引脚排列图

图7.1—14所示是2K×8位静态CMOS RAM6116的引脚排列图。A0~A10是地址码

10 输入端,D 0~D 7是数据输出端,CS 是选片端,OE 是输出使能端,W E 是写入控制端。

123456789101112

13

14151617181920212223246116

765

432112A A A A A A A D D 00A D V A A WE OE CS D D D D D A DD 891076543

GND

图7.1—14 静态RAM 6116引脚排列图

2. 芯片工作方式和控制信号之间的关系

表7.1—1所列是6116的工作方式与控制信号之间的关系,读出和写入线是分开的,而且写入优先。

表7.1—1 静态RAM6116工作方式与控制信号之间的关系

CS OE W E 100~A A 70~D D 工作状态

1 × × × 高 阻 态 低功耗维持 0 0 1 稳定 输 出 读 0 × 0 稳定 输 入 写

7.2 只读存储器(ROM)

只读存储器因工作时其内容只能读出而得名,常用于存储数字系统及计算机中不需改写的数据,例如数据转换表及计算机操作系统程序等。ROM(Read -Only Memory)存储的数据不会因断电而消失,即具有非易失性。

一. ROM 的分类

与RAM 不同,ROM 一般需由专用装置写入数据。按照数据写入方式特点不同,ROM 可分为以下几种:

(1)固定ROM 。也称掩膜ROM ,这种ROM 在制造时,厂家利用利用掩膜技术直接

11

把数据写入存储器中,ROM制成后,其存储的数据也就固定不变了,用户对这类芯片无法进行任何修改。

(2)一次性可编程ROM(PROM)。PROM在出厂时,存储内容全为1(或全为0),用户可根据自己的需要,利用编程器将某些单元改写为0(或1)。PROM一旦进行了编程,就不能再修改了。

(3)光可擦除可编程ROM(EPROM)。EPROM是采用浮栅技术生产的可编程存储器,它的存储单元多采用N沟道叠栅MOS管,信息的存储是通过MOS管浮栅上的电荷分布来决定的,编程过程就是一个电荷注入过程。编程结束后,尽管撤除了电源,但是,由于绝缘层的包围,注入到浮栅上的电荷无法泄漏,因此电荷分布维持不变,EPROM也就成为非易失性存储器件了。

当外部能源(如紫外线光源)加到EPROM上时,EPROM内部的电荷分布才会被破坏,此时聚集在MOS管浮栅上的电荷在紫外线照射下形成光电流被泄漏掉,使电路恢复到初始状态,从而擦除了所有写入的信息。这样EPROM又可以写入新的信息。

(4)电可擦除可编程ROM(E2PROM)。E2PROM也是采用浮栅技术生产的可编程ROM,但是构成其存储单元的是隧道MOS管,隧道MOS管也是利用浮栅是否存有电荷来存储二值数据的,不同的是隧道MOS管是用电擦除的,并且擦除的速度要快的多(一般为毫秒数量级)。

E2PROM的电擦除过程就是改写过程,它具有ROM的非易失性,又具备类似RAM 的功能,可以随时改写(可重复擦写1万次以上)。目前,大多数E2PROM芯片内部都备有升压电路。因此,只需提供单电源供电,便可进行读、擦除/写操作,这为数字系统的设计和在线调试提供了极大方便。

(5)快闪存储器(Flash Memory)。快闪存储器的存储单元也是采用浮栅型MOS 管,存储器中数据的擦除和写入是分开进行的,数据写入方式与EPROM相同,需要输入一个较高的电压,因此要为芯片提供两组电源。一个字的写入时间约为200微秒,一般一只芯片可以擦除/写入100次以上。

二.ROM的结构及工作原理

1.ROM的内部结构

由地址译码器和存储矩阵组成,图7.2—2所示是ROM的内部结构示意图。

12 0单元1单元

i单元

单元2

-1n W W W W D D D 01

i

n 2

-101b -1

位线

存储单元

...

...

...

...

...

字线

输出数据输1

A A 器

...

地入

译0n -1

地码址A ...

图7.2—2 ROM 的内部结构示意图

2. ROM 的基本工作原理 (1)电路组成

A

A

图7.2—3 二极管ROM 电路

13

输入地址码是A 1A 0,输出数据是D 3D 2D 1D 0。输出缓冲器用的是三态门,它有两个作用,一是提高带负载能力;二是实现对输出端状态的控制,以便于和系统总线的连接。

其中与门阵列组成译码器,或门阵列构成存储阵列,其存储容量为4×4=16位。

A A W

W D 0

00

12

(a)(b)二极管与门

二极管或门

(2)输出信号表达式 与门阵列输出表达式:

010A A W = 011A A W = 012A A W = 013A A W = 或门阵列输出表达式:

200W W D += 3211W W W D ++= 3202W W W D ++= 313W W D += (3)ROM 输出信号的真值表

表7.2—1 ROM 输出信号真值表

A 1 A 0 D 3 D 2 D 1 D 0` 0 0 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0

(4)功能说明

从存储器角度看,A 1A 0是地址码,D 3D 2D 1D 0是数据。表7.2—1说明:在00地址中存放的数据是0101;01地址中存放的数据是1010,10地址中存放的是0111,11地址中存放的是1110。

从函数发生器角度看,A 1、、A 0是两个输入变量,D 3、D 2、D 1、、D 0是4个输出函数。表7.2—1说明:当变量A 1、、A 0取值为00时,函数D 3=0、D 2=1、D 1=0、、D 0=1;当变量A 1、、A 0取值为01时,函数D 3=1、D 2=0、D 1=1、、D 0=0;…。

从译码编码角度看,与门阵列先对输入的二进制代码A 1A 0进行译码,得到4个输出信号W 0、W 1、W 2、W 3,再由或门阵列对W 0~W 3 4个信号进行编码。表7.2—1说明:

14

W0的编码是0101;W1的编码是1010;W2的编码是0111;W3的编码是1110。

三.ROM的应用

1.作函数运算表电路

数学运算是数控装置和数字系统中需要经常进行的操作,如果事先把要用到的基本函数变量在一定范围内的取值和相应的函数取值列成表格,写入只读存储器中,则在需要时只要给出规定“地址”就可以快速地得到相应的函数值。这种ROM,实际上已经成为函数运算表电路。

【例7.2—1】试用ROM构成能实现函数y=x2的运算表电路,x的取值范围为0~15的正整数。

【解】(1)分析要求、设定变量

自变量x的取值范围为0~15的正整数,对应的4位二进制正整数,用B=B3B2B1B0表示。根据y=x2的运算关系,可求出y的最大值是152=225,可以用8位二进制数Y=Y7Y6Y5Y4Y3Y2Y1Y0表示。

(2)列真值表—函数运算表

表7.2—2 例7.2—1中Y的真值表

B3B2B1B0Y7Y6Y5Y4Y3Y2Y1Y0十进制数

0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 1 0 0 0 0 0 0 0 1 1

0 0 1 0 0 0 0 0 0 1 0 0 4

0 0 1 1 0 0 0 0 1 0 0 1 9

0 1 0 0 0 0 0 1 0 0 0 0 16

0 1 0 1 0 0 0 1 1 0 0 1 25

0 1 1 0 0 0 1 0 0 1 0 0 36

0 1 1 1 0 0 1 1 0 0 0 1 49

1 0 0 0 0 1 0 0 0 0 0 0 64

1 0 0 1 0 1 0 1 0 0 0 1 81

1 0 1 0 0 1 1 0 0 1 0 0 100

1 0 1 1 0 1 1 1 1 0 0 1 121

1 1 0 0 1 0 0 1 0 0 0 0 144

1 1 0 1 1 0 1 0 1 0 0 1 169

1 1 1 0 1 1 0 0 0 1 0 0 196

1 1 1 1 1 1 1 0 0 0 0 1 225

15

(3)写标准与或表达式

Y 7=m 12+m 13+m 14+m 15 Y 6=m 8+m 9+m 10+m 11+m 14+m 15 Y 5=m 6+m 7+m 10+m 11+m 13+m 15 Y 4=m 4+m 5+m 7+m 9+m 11+m 12 Y 3=m 3+m 5+m 11+m 13 Y 2=m 2+m 6+m 10+m 14 Y 1=0

Y 0= m 1+m 3+m 5+m 7+m 9+m 11+m 13+m 15 (4)画ROM 存储矩阵节点连接图

为做图方便,可将ROM 矩阵中的二极管用节点表示。

B B B B

Y Y Y Y Y Y Y Y 0

123456

7

图7.2—5 例7.2—1 ROM 存储矩阵连接图

在图7.2—5所示电路中,字线W 0~W 15分别与最小项m 0~m 15一一对应,我们注意到作为地址译码器的与门阵列,其连接是固定的,它的任务是完成对输入地址码(变量)的译码工作,产生一个个具体的地址—地址码(变量)的全部最小项;而作为存储矩阵的或门阵列是可编程的,各个交叉点—可编程点的状态,也就是存储矩阵中的内容,可由用户编程决定。

16 当我们把ROM 存储矩阵做一个逻辑部件应用时,可将其用方框图表示。

D D D D D D D D A A A A B B B B 16×8位ROM 输Y Y Y Y Y Y Y Y 0

00

1

112223334455

66773

1

2

输入

x

y

图7.2—6 例7.2—1ROM 的方框图表示方法

2.实现任意组合逻辑函数

从ROM 的逻辑结构示意图可知,只读存储器的基本部分是与门阵列和或门阵列,与门阵列实现对输入变量的译码,产生变量的全部最小项,或门阵列完成有关最小项的或运算,因此从理论上讲,利用ROM 可以实现任何组合逻辑函数。

【例7.2—2】试用ROM 实现下列函数:

ABC C B A C B A C B A Y +++=1 CA BC Y +=2

ABCD D C AB D C B A D BC A CD B A D C B A Y +++++=3 BCD ACD ABD ABC Y +++=4

【解】

1. 写出各函数的标准与或表达式

按A 、B 、C 、D 顺序排列变量,将Y1、Y2扩展成为四变量逻辑函数。 )

,,,,(),,,,,(),,,,,(),,,,,,,(15141311715129630151411107615149854324321m m m m Y Y Y Y ∑=∑=∑=∑=

2. 选用16×4位ROM ,画存储矩阵连线图

17

Y4

B

D

1

Y

2

Y

Y3

图7.2—7 例7.2—2 ROM存储矩阵连线图

四.常用的EPROM 举例——2764

A

A

A

A

A

A

A

A

A

A

A

1

2

3

4

5

6

7

8

9

10

A

A

11

12

O

O

O

O

O

O

1

2

O

3

4

5

O

6

7

2

23

21

24

25

3

4

5

6

7

8

9

8kB×8

2764

10

VPP

1

27PGM

(PGM)

V CC

V IH

20

CS

OE

CS

OE

22

11

12

13

14

15

16

17

18

标准28脚双列直插EPROM 2764逻辑符号

18 V V pp cc

CE PGM

A A D D 12007~~地

2764

A A 120

~D D 7~CE 0PGM V pp cc

V 引脚

功能地址输入芯片使能编程脉冲电压输入

数 据

Intel 2764 EPROM 的外形和引脚信号

在正常使用时,V CC =+5V 、V IH 为高电平,即V PP 引脚接+5V 、PGM 引脚接高电平,数据由数据总线输出。在进行编程时,PGM 引脚接低电平,V PP 引脚接高电平(编程电平+25V ),数据由数据总线输入。

OE :输出使能端,用来决定是否将ROM 的输出送到数据总线上去,当OE =0时,

输出可以被使能,当OE =1时,输出被禁止,ROM 数据输出端为高阻态。

CS :片选端,用来决定该片ROM 是否工作,当CS =0时,ROM 工作,当CS =1

时,ROM 停止工作,且输出为高阻态(无论OE 为何值)。

ROM 输出能否被使能决定于CS +OE 的结果,当CS +OE =0时,ROM 输出使能,否则将被禁止,输出端为高阻态。另外,当CS =1时,还会停止对ROM 内部的译码器等电路供电,其功耗降低到ROM 工作时的10%以下。这样会使整个系统中ROM 芯片的总功耗大大降低。

五.ROM 容量的扩展

(1)字长的扩展。(现有型号的EPROM ,输出多为8位。) 如图所示是将两片2764扩展成16k ×16位EPROM 的连线图。

CS OE

A 0~

7

D ~

(2)字数扩展。

用8片2764扩展成64k ×8位EPROM

19

OE

A~

A

A

A15

本章小节

1.半导体存储器是现代数字系统特别是计算机系统中的重要组成部件,它可分为RAM和ROM两大类,绝大多数属于MOS工艺制成的大规模数字集成电路。

2.RAM是一种时序逻辑电路,具有记忆功能。其它存储的数据随电源断电而消失,因此是一种易失性的读写存储器。它包含有SRAM和DRAM两种类型,前者用触发器记忆数据,后者靠MOS管栅极电容存储数据。因此,在不停电的情况下,SRAM的数据可以长久保持,而DRAM则必需定期刷新。

3.ROM是一种非易失性的存储器,它存储的是固定数据,一般只能被读出。根据数据写入方式的不同,ROM又可分成固定ROM和可编程ROM。后者又可细分为PROM、EPROM、E2PROM和快闪存储器等,特别是E2ROM和快闪存储器可以进行电擦写,已兼有了RAM的特性。

4.从逻辑电路构成的角度看,ROM是由与门阵列和或门阵列构成的组合逻辑电路。ROM的输出是输入最小项的组合,因此采用ROM可方便地实现各种逻辑函数。随着大规模集成电路成本的不断下降,利用ROM构成各种组合、时序电路,愈来愈具有吸引力。

数字电路与逻辑设计习题7第七章半导体存储器(精)

第七章半导体存储器 一、选择题 1.一个容量为1K ×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K ×8的R AM ,需要片容量为256×4的R AM 。 A.2 B.4 C.8 D. 32 3.寻址容量为16K ×8的RAM 需要根地址线。 A.4 B. 8 C.14 D. 16 E.16K 4.若R AM 的地址码有8位,行、列地址译码器的输入端都为4个,则它们的 输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K ×8 C. 256×8 D. 256×256 6. 采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D. 1024行1024列 7.随机存取存储器具有功能。 A. 读/写 B. 无读/写 C. 只读 D. 只写 8.欲将容量为128×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译

码器的输出端数为。 A.1 B.2 C.3 D. 8 9.欲将容量为256×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译 码器的输入端数为。 A.4 B.2 C.3 D. 8 10.只读存储器ROM 在运行时具有功能。 A. 读/无写 B. 无读/写 C. 读/写 D. 无读/无写 11.只读存储器R OM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为0 C. 不可预料 D. 保持不变 12.随机存取存储器RAM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为1 C. 不确定 D. 保持不变 13.一个容量为512×1的静态RAM 具有。 A. 地址线9根,数据线1根 B. 地址线1根,数据线9根 C. 地址线512根,数据线9根 D. 地址线9根,数据线512根 14.用若干R AM 实现位扩展时,其方法是将相应地并联在一起。 A. 地址线 B. 数据线 C. 片选信号线 D. 读/写线 15.PROM 的与陈列(地址译码器)是。 A. 全译码可编程阵列 B. 全译码不可编程阵列

06第六章半导体存储器(2学时)

第六章半导体存储器2学时基本知识: 1、半导体存储器的基本概念、以及性能技术指标; 2、半导体存储器的功能分类; 3、SRAM存储单元的基本电路结构; 4、RAM的读/写操作; 5、掩膜ROM的基本结构与基本特性; 6、可编程ROM的基本结构与基本特性; 重点知识: 1、正确理解存储容量的概念; 2、正确理解RAM的基本结构组成; 3、熟练掌握RAM存储容量的扩展方法; 4、正确使用常规半导体存储器; 难点知识: 1、半导体存储器的结构组成的理解;

课后练习:P383-7.1.2、7.1.52、RAM存储容量的扩展方法;

序言 随着半导体集成工艺的不断进步,电路的集成度越来越高。目前,大规模集成电路LSI日新月异,LSI电路的一个重要应用领域就是半导体存储器。 半导体存储器就是存储大量二值数据的半导体器件,是数字系统必不可少的组成部分。这种存储包括:存储文字的编码数据、存储声音的编码数据、存储图像的编码数据。 衡量存储器性能的重要计数指标——存储容量(目前动态存储器的容量可达10亿位/片)、存取速度(一些高速存储器的存取时间仅10nS)。

1、存储容量 存储器由若干存储单元组成,每个存储单元存放一位二进制数。由若干二进制数组成的二进制数代码称为一个字,字所包含二进制 数的位数称为字长。 可见,存放一个字长为M的字需要M个存储单元,且M个存储单元为一个信息单元。 所以:存储容量就是字数N(信息单元)与字长M(位数)的乘积(即存储单元的总数)。 如:64M×8=512M (其中64M为字数或信息单元,8为字长或位数,512M为存储单元)

第七章 半导体存储器

第七章 半导体存储器 数字信息在运算或处理过程中,需要使用专门的存储器进行较长时间的存储,正是因为有了存储器,计算机才有了对信息的记忆功能。存储器的种类很多,本章主要讨论半导体存储器。半导体存储器以其品种多、容量大、速度快、耗电省、体积小、操作方便、维护容易等优点,在数字设备中得到广泛应用。目前,微型计算机的内存普遍采用了大容量的半导体存储器。 存储器——用以存储一系列二进制数码的器件。 半导体存储器的分类 根据使用功能的不同,半导体存储器可分为随机存取存储器(RAM —Random Access Memory )和只读存储器(ROM —Read-Only memory )。 按照存储机理的不同,RAM 又可分为静态RAM 和动态RAM 。 存储器的容量 存储器的容量=字长(n )×字数(m ) 7.1随机存取存储器(RAM ) 随机存取存储器简称RAM ,也叫做读/写存储器,既能方便地读出所存数据,又能随时写入新的数据。RAM 的缺点是数据的易失性,即一旦掉电,所存的数据全部丢失。 一. RAM 的基本结构 由存储矩阵、地址译码器、读写控制器、输入/输出控制、片选控制等几部分组成。 存储矩阵 读/写控制器 地址译码器 地 址码输片选读/写控制输入/输出 入 图7.1—1 RAM 的结构示意框图

2 1. 存储矩阵 RAM 的核心部分是一个寄存器矩阵,用来存储信息,称为存储矩阵。 图7.1—5所示是1024×1位的存储矩阵和地址译码器。属多字1位结构,1024个字排列成32×32的矩阵,中间的每一个小方块代表一个存储单元。为了存取方便,给它们编上号,32行编号为X 0、X 1、…、X 31,32列编号为Y 0、Y 1、…、Y 31。这样每一个存储单元都有了一个固定的编号(X i 行、Y j 列),称为地址。 1 1 1 1 31 31 131******** 列 译 码 器 行译码器 .. .........位线 位线 位线 位线 位线 位线 . .. . . . . X X X Y Y Y 0 1 31 131 A A A A A A A A A A 地 址 输 入 地址 输入 012 34 5 67 89 D D 数据线 . .. . 图7.1-5 1024×1位RAM 的存储矩阵 2. 址译码器 址译码器的作用,是将寄存器地址所对应的二进制数译成有效的行选信号和列选信号,从而选中该存储单元。 存储器中的地址译码器常用双译码结构。上例中,行地址译码器用5输入32输出的译码器,地址线(译码器的输入)为A 0、A 1 、…、A 4,输出为X 0、X 1、…、X 31;列地址译码器也用5输入32输出的译码器,地址线(译码器的输入)为A 5、A 6 、…、A 9,输出为Y 0、Y 1、…、Y 31,这样共有10条地址线。例如,输入地址码A 9A 8A 7A 6A 5A 4A 3A 2A 1A 0=0000000001,则行选线X 1=1、列选线Y 0=1,选中第X 1行第

数字逻辑技术第七章

第七章半导体存储器习题 一、选择题 1.一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K×8的RAM,需要片容量为256×4的RAM。 A.2 B.4 C.8 D.32 3.寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 4.若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K×8 C.256×8 D. 256×256 6.采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 7.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 8.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为。 A.1 B.2 C.3 D.8 9.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助 译码器的输入端数为。 A.4 B.2 C.3 D.8 10.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 11.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 12.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 13.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 14.用若干RAM实现位扩展时,其方法是将相应地并联在一起。 A.地址线 B.数据线 C.片选信号线 D.读/写线 15.PROM的与陈列(地址译码器)是。 A.全译码可编程阵列 B. 全译码不可编程阵列 C.非全译码可编程阵列 D.非全译码不可编程阵列 二、判断题(正确打√,错误的打×) 1.实际中,常以字数和位数的乘积表示存储容量。() 2. RAM由若干位存储单元组成,每个存储单元可存放一位二进制信息。() 3.动态随机存取存储器需要不断地刷新,以防止电容上存储的信息丢失。() 4.用2片容量为16K×8的RAM构成容量为32K×8的RAM是位扩展。()5.所有的半导体存储器在运行时都具有读和写的功能。()6. ROM和RAM中存入的信息在

第6章 半导体存储器

6 习题参考答案 6.1 ROM 有哪些种类?各有何特点? 6.2 指出下列的ROM 存储系统各具有多少个存储单元,应有地址线、数据线、字线和位线各多少根? 6.3 一个有16384个存储单元的ROM ,它的每个字是8位。试问它应有多少个字?有多少根地址线和数据线? 6.4 已知 ROM 如图6.21所示,试列表说明ROM 存储的内容。 A 1 A 图6.21 题6.4的图 解: 存储的数据为01、11、00、10 6.5 ROM 点阵图及地址线上的波形图如图6.22所示,试画出数据线D 3~D 0上的波形图。 A 1 A 0 图6.22 题6.5的图

解: 10A A 3210D D D D 0 00 11 01 10 0 1 11 1 1 00 1 0 0 1 0 1 1 A 1A 0 D 0 D 1D 2 D 3 6.6 试用ROM 设计一个组合逻辑电路,用来产生下列一组逻辑函数。画出存储矩阵的 点阵图。 D B D B Y D B D A C D C B B A Y D C A D B A D C B A Y D ABC D C AB D C B A D C B A Y +=+++=++=+++=4321 解: 1234(5,10,13,14)(9,10,11,13) (1,3,4,5,6,7,9,10,11,13,14)(1,3,4,6,9,11,12,14) Y ABCD ABCD ABCD ABCD m Y ABCD ABD ACD m Y AB BCD ACD BD m Y BD BD m =+++=∑=++=∑=+++=∑=+=∑ A B C D Y 4 Y 3 Y 2 Y 1 输出 6.7 试用ROM 设计一个实现8421BCD 码到余3码转换的逻辑电路,要求选择EPROM 的容量,画出简化阵列图。 解:列写真值表,作电路图,选用16×4的EPROM 。

数字电子技术基础第四版课后答案7

第七章半导体存储器 [题] 存储器和寄存器在电路结构和工作原理上有何不同 [解] 参见第节。 [题] 动态存储器和静态存储器在电路结构和读/写操作上有何不同 [解] 参见第7.3.1节和第节。 [题] 某台计算机的内存储器设置有32位的地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少 [解] 最大存储量为232×16=210×210×210×26=1K×1K×1K×26=64G [题] 试用4片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)组成4096×4位的RAM。 [解] 见图。 [题] 试用16片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)接成一个8K×8位的RAM。 [解] 见图。

[题] 已知ROM的数据表如表所示,若将地址输入A3A2A1A0作为4个输入逻辑变量,将数据输出D3D2D1D0作为函数输出,试写出输出与输入间的逻辑函数式。 [ 解] D3= 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A+ + + D2=0 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A A A A A+ + + + +0 1 2 3 A A A A D1=0 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A+ + + D0=0 1 2 3 1 2 3 A A A A A A A A+ [题]图是一个16×4位的ROM,A3、、A2、A1、A0为地址输入,D3、D2、D1、D0是数据输出,若将D3、D2、D1、D0视为A3、、A2、A1、A0的逻辑函数,试写出D3、D2、D1、D0的逻辑函数式。 [解]0 1 2 3 1 2 3 1 2 3 1 2 3 3 A A A A A A A A A A A A A A A A D+ + + = 1 2 3 1 2 3 1 2 3 2 A A A A A A A A A A A A D+ + = 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 1 A A A A A A A A A A A A A A A A A A A A D+ + + + = 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A A A A A D+ + + + = 1 2 3 1 2 3 A A A A A A A A+ + 地址 输入 数据 输出 地址 输入 数据 输出 A3A2A1 A0 D3D2D1 D0 A3A2A1 A0 D3D2D1 D0 0000 0001 0010 0011 0100 0101 0110 0111 0001 0010 0010 0100 0010 0100 0100 1000 1000 1001 1010 1011 1100 1101 1110 1111 0010 0100 0100 1000 0100 1000 1000 0001

第七章 半导体存储器习题

第七章半导体存储器习题 [本次习题要求6月4日交] 7.1填空题: 1.半导体存储器从读、写的功能上可分为ROM和()两大类。 2.工作中既可以读出信息,又可写入信息的存储器称为()。 3.根据存储单元电路结构和工作原理的不同,将RAM分为静态RAM和()RAM 两类。 4.一个ROM共有10根字线(地址线),8根位线(数据线),则其存储容量为()。 A.10×28; B.102×8; C.10×82; D.210×8。 5.容量为8K×8位的RAM芯片,其地址线和数据线各为()。 A.8和8根; B.10和8根; C.13和8根; D.8和13根。 6.要扩展成32K×16位的ROM,需要()片32K×8位的ROM。 7.为了构成4096×8位的RAM,需要()片1024×2位的RAM。 8.关于半导体存储器的描述,下列哪种说法是错误的是()。 A.RAM读写方便,但一旦掉电,所存储的内容就会全部丢失。 B.ROM掉电以后数据不会丢失。 C.RAM可分为静态RAM和动态RAM。 D.动态RAM不必定时刷新。 9.二极管ROM的电路结构如图所示,当地址为A 1A =10时,该字单元的内容d 3 d 2 d 1 d 为()。

10.ROM阵列逻辑图如图所示,当地址为A 1A =10时,该字单元的内容D 3 D 2 D 1 D 为 ()。 A.1l10; B.0111; C.1010; D.0100。 7.2试用2片1024×4位的RAM(2114)接成1024×8位的存储器。 7.3试用2片1024×4位的RAM(2114)接成2048×4位的存储器。 7.4用4×6位的ROM设计一个六段显示译码器。六段显示器如图所示(图中e 是水平线,f是垂直线)。它可以显示东南西北四个方向之一,实心线表示亮,虚心线表示不亮。 显示东南西北四个方向之一由ROM的两位地址输入码A 1和A 控制,控制要 求如下表所示。即六段显示译码器的输入为A 1和A ,并使输出a~f中适当的段 亮。设输出逻辑1表示亮,逻辑0表示不亮。 要求列出ROM 7.5试用8×2位容量的ROM设计一个能实现两个一位二进制数全加的逻辑电路。 输入为被加数A i 、加数B i 及来自低位的进位C i-1 ;输出为和S i 及向高位的进位C i 。 要求:(1)列出真值表;(2)直接在下图中画出用ROM点阵图实现全加的电路。

第7章 半导体存储器与可编程逻辑器件习题解答

思考题与习题 7.1 选择题 7.14 选择题 1)存储容量为8K×8位的ROM 存储器,其地址线为 条。 C A 、8 B 、12 C 、13 D 、14 2)只能按地址读出信息,而不能写入信息的存储器为 。 b A 、 RAM B 、ROM C 、 PROM D 、EPROM 3)一片ROM 有n 根地址输入,m 根位线输出,则ROM 的容量为 。a A 、m n ?2 B 、n m ? C 、m n 22? D 、n m ?2 4)一个6位地址码、8位输出的ROM ,其存储矩阵的容量为 。 A 、46 B 、64 C 、512 D 、256 5)为构成4096×8的RAM ,需要 片2024×2的RAM ,并需要有 位地址译码以完成寻址操作。 A 、8 ,15 B 、16,11 C 、10,12 D 、8,12 6)PAL 是一种的 可编程逻辑器件。 A 、与阵列可编程,或阵列固定 B 、与阵列列固,或阵可编程定 C 、与阵列、或阵列固定 D 、与阵列、或阵列可编程 7.2 试写出如图7-27所示阵列图的逻辑函数表达式和真值表,并说明其功能。 1 F 2 F 3 图6-1 例6-1逻辑图 图7-27 题7.2图 解:根据与阵列的输出为AB 的最小项和阵列图中有实心点“·”为1,无“·”为0, 可以写出: AB W F ==30 B A AB B A B A W W W F +=++=++=3211 B A B A B A F ⊕=+=2 AB B A B A B A B A W W W F =+=++=++=2103 从上述逻辑表达式可以看出,图7-1所示阵列图实现了输入变量A 、B 的四种逻辑运算:与、或、异或和与非。列出真值表如表7-1所示。 7.3 若存储器芯片的容量为128K× 8位,求: 表7-1 例7-1真值表

第七章 半导体存储器

第七章 半导体存储器内容提要 本章将系统地介绍各种半导体存储器的工作原理和使用方法。半导体存储器包括只读存储器(ROM ) RAM )。)。在只读存储器中,介绍了 在只读存储器中,介绍了和快闪存储器等不同类型的ROM 的工作原理和特点;而在随机存储器中,介绍了静态RAM (SRAM )和动态RAM (DRAM )两种类型。此外,也介绍了存储器扩展容量的连接方法以及用存储器设计组合逻辑电路,重点放在这里。

本章内容 7.5 用存储器实现组合逻辑函数

1. 半导体存储器的定义 路,由于计算机以及一些数字系统中要存储大量的数据,因此存储器是数字系统中不可缺少的组成部分,7.1.1所示。 输入 / 出 电 路I/O 输入输入//出控制图7.1.1

2.存储器的性能指标 存储量和存取速度作为衡量存储器的重要性能指标。 片,一些高速存储 3.半导体存储器的分类 (1)从存取功能上分类 从存取功能上可分为只读存储器( Memory,简称ROM)和随机存储器( Access Memory,简称RAM

a. ROM a. ROM 、可编程ROM(Programmable PROM)和可擦除的可编程ROM(Erasable Programmable Read-Only Memory,简称EPROM)。 *掩模ROM在制造时,生产厂家利用掩模技术把数据写入存储器中,一旦ROM 定不变,无法更改。

(或者全为0),用户可根据自己的需要写入,利用通用或专用的编程 1)。 是采用浮栅技术的可编程存储器,其数据不但可以由用户根据自己的需要写入,而且还能擦除重写,所以具有较大的使用灵活性。它的数据的写入需要通用或专用的编程器,其擦除为照射擦除,为一次全部擦除。电擦除的PROM ROM。

相关主题