搜档网
当前位置:搜档网 › 编码器基础知识

编码器基础知识

编码器基础知识
编码器基础知识

增量型和绝对值编码器常见问题(FAQ)

编码器业务部

目录

1增量式编码器 (4)

1.1如何选择单圈脉冲数PPR (4)

1.2编码器的最大允许单圈脉冲数如何计算

编码器的最大允许单圈脉冲数如何计算?? (4)

1.3编码器的最大允许转速为? (4)

1.4编码器的接口通信距离可达? (5)

1.5是否必须使用屏蔽线缆

是否必须使用屏蔽线缆?? (5)

如何有效降低编码器应用时的噪声影响?? (5)

1.6如何有效降低编码器应用时的噪声影响

为何要使用柔性联轴器?? (5)

1.7为何要使用柔性联轴器

编码器输出的信号是什么意思?? (5)

1.8编码器输出的信号是什么意思

什么是门参考脉冲?? (6)

1.9什么是门参考脉冲

增量式编码器可兼容何种串行通信方式?? (7)

1.10增量式编码器可兼容何种串行通信方式

1.11倍加福RS422编码器的信号电平为

编码器的信号电平为?? (7)

输出接口有?? (7)

1.12倍加福编码器的供电-输出接口有

1.13什么是差分线驱动输出

什么是差分线驱动输出?? (7)

什么是集电极开路输出?? (8)

1.14什么是集电极开路输出

什么是图腾柱输出?? (8)

1.15什么是图腾柱输出

什么是推挽式输出?? (8)

1.16什么是推挽式输出

什么是吸收型输入和源型输入?? (8)

1.17什么是吸收型输入和源型输入

什么是正交信号输出?? (9)

1.18什么是正交信号输出

1.19正交输出和4倍频什么关系

倍频什么关系?? (9)

有何用处?? (9)

1.20反向通道A和B有何用处

什么是参考脉冲?? (9)

1.21什么是参考脉冲

为何需要使用上拉电阻?? (9)

1.22为何需要使用上拉电阻

更换编码器必须断电停机吗?? (9)

1.23更换编码器必须断电停机吗

成什么后果?? (10)

1.24意外将24V DC连接到输出通道会造

连接到输出通道会造成什么后果

成什么后果

编码器故障诊断需要什么检测设备?? (10)

1.25编码器故障诊断需要什么检测设备

等级?? (11)

1.26什么是IP等级

2绝对值编码器 (12)

2.1什么是绝对值编码器? (12)

绝对值编码器和增量式编码器的区别是什么?? (12)

2.2绝对值编码器和增量式编码器的区别是什么

绝对值编有哪些输出码制?? (12)

2.3绝对值编有哪些输出码制

什么是格雷码?? (13)

2.4什么是格雷码

2.5如何转换格雷码为二进制码

如何转换格雷码为二进制码?? (13)

什么是单圈绝对值编码器?? (13)

2.6什么是单圈绝对值编码器

什么是多圈编码器?? (14)

2.7什么是多圈编码器

3NAMUR本安型编码器 (15)

为何需要它?? (15)

3.1什么是NAMUR 本安型编码器

本安型编码器,,为何需要它

本安型编码器可以应用于石油精炼厂吗?? (15)

3.2本安型编码器可以应用于石油精炼厂吗

3.3什么是隔离栅

什么是隔离栅?? (15)

两者有什么区别?? (15)

3.4电器设备分类IIB 和IIC两者有什么区别

3.5什么是0区? (15)

3.6如果不便使用隔离栅

有其它选择方案吗?? (16)

如果不便使用隔离栅,,有其它选择方案吗

隔爆型编码器比较便宜吗?? (16)

3.7隔爆型编码器比较便宜吗

1 增量式编码器

1.1 如何选择单圈脉冲数PPR

选择增量式编码器的单圈分辨率PPR ,须考虑:

a. 将所选择的单圈脉冲数PPR 和电机驱动编码器的最大转速综合考虑,计算工作频率,确保其不

会引起在最大转速下脉冲输出频率超过编码器的脉冲输出频率和控制器的输入频率。

b. 单圈脉冲数尽量选择为你所需要的精度控制,这样可减少比例缩放操作。

如12m 测量范围,测量显示仅需1m/步(低分辨率),则可选择12ppr ,而如果需要显示0.01m/步(高分辨率),应选择1200ppr 或以上的编码器。

如果你选择了600ppr 的编码器测量显示0.01m/步的精度,则需要进行比例换算,将0.02m/步换算为0.01m/步。

c. 请注意,可能你所使用的控制器带有2倍或4倍倍频功能。

按以上示例,0.01m/步的测量精度,选择600ppr 并进行2倍频或者300ppr 进行4倍频,可达到同样的效果。

1.2 编码器的编码器的最大最大最大允许允许允许单圈脉冲数如何计算单圈脉冲数如何计算单圈脉冲数如何计算??

编码器的物理单圈脉冲数可从产品技术参数表查询,但实际最大单圈脉冲数受到编码器输出频率的限制,计算如下:

z n

f ×=

60

z

f n 60

max max ×=

图1展示了编码器实际工作频率和单圈脉冲数的关系特性。

Fig.1 图1

1.3 编码器的最大允许转速为?

这里, f, f max = 频率,单位:Hz n, n max = 转速,单位:转/分

z = 单圈脉冲数ppr

编码器的机械允许转速rpm可参照产品技术参数表,实际工作转速可能会受到编码器的接口输出频率和控制器的输入频率限制。

1.4 编码器的接口通信距离可达?

关于该问题,由于实际工况中多种因素可能对接口通信距离造成影响,具体长度不定。

一般,线缆越长,编码器受到干扰的可能越大,原因在于电缆的分布容抗、分布阻抗造成的信号衰减和天线效应引入噪声等。

要获得最大的通信距离,须遵循以下基本布线准则:

a. 编码器信号线缆远离噪声源,例如AC电机、弧焊机、AC功率电缆以及变压器等。

b. 信号线缆请使用工业数据用屏蔽双绞线缆。

c. 使用塑料盘联轴器,降低噪声及接地电气干扰。

d. 在供电电压允许范围内使用最高电压,例如编码器供电允许5~24V,请使用24V供电,使用差

分接收器接收集电极开路和差分线驱动输出信号,保证最大限度的信号电流被接收。

e. 如果你需要单编码器信号多控制器应用方案,请务必使用信号放大器。这将有助于延长信号通

信距离。一般情况下,差分线驱动通信距离可达1200m。

是否必须使用屏蔽线缆??

1.5 是否必须使用屏蔽线缆

强烈建议使用,特别是在存在大量电气干扰的环境下。如果你存在噪声干扰问题或者怀疑可能存在,请务必使用。

如何有效降低编码器应用时的噪声影响??

1.6 如何有效降低编码器应用时的噪声影响

提高编码器的抗干扰特性,可遵循以下原则:

a. 将编码器信号线缆和电机或其它动力电缆应分开布置。

b. 尽量缩短通信线缆,避免备用长度部分。

c. 线缆在某种程度上可理解为辐射射频信号的接收天线,有必要在强干扰环境添加额外的干扰抑

制设备。

为何要使用柔性联轴器??

1.7 为何要使用柔性联轴器

柔性联轴器用于保护编码器的轴承,抑制驱动侧带来的冲击振动影响。同时联轴器还可以有效的补偿角度偏差、径向和轴向偏差。

编码器输出的信号是什么意思??

1.8 编码器输出的信号是什么意思

方波输出的增量编码器信号如下:

图2

图2中,标示了A、B、0和相应的反向通道A、B、0共6个信号通道脉冲输出序列。根据实际应用,这些信号可以单独或组合应用。每一个脉冲,对应着光线透过了码盘透明部分产生的光脉冲。0位参考信号每一整圈发送一个脉冲。

什么是门参考脉冲??

1.9 什么是门参考脉冲

门参考脉冲,参见1.8关于方波式增量式编码器脉冲输出的0通道信号,其有A通道和B通道信号共同组合的门来限制脉冲的宽度和何时发送。

一般,门参考脉冲基于上升沿触发,触发方式存在如下两种方式:

图3 图4 如图3,门参考脉冲的上升沿有A通道脉冲的上升沿触发,B的脉冲下降沿对应其脉冲下降沿(A通道信号相位领先于B)。

如图4,门参考脉冲的上升沿有B通道脉冲的上升沿触发,A的脉冲下降沿对应其脉冲下降沿(B通道信号相位领先于A)。

1.10 增量式编码器可兼容何种串行通信方式

增量式编码器可兼容何种串行通信方式??

RS422(仅使用了RS422 的电气特性)

编码器的信号电平为??

1.11 倍加福RS422编码器的信号电平为

5VDC

输出接口有??

1.12 倍加福编码器的供电-输出接口有

P+F 编码器所可提供的供电-输出接口如下:

供电电压输出接口编码器系列

10 - 30V DC 10-30VDC 推挽R-Line

10 - 30V DC 5VDC RS422 R-Line

5V DC 5VDC RS422 R-Line

4.75 - 30VDC 4.75 - 30VDC推挽T-Line

什么是差分线驱动输出??

1.13 什么是差分线驱动输出

差分式输出,表述的是每个输出通道均带有对应的一个反向通道,例如通道A对应的反向通道A。RS422差分线驱动用于提高信号传输对噪声干扰的免疫性能,以达到更大的传输距离,可随意匹配吸收型或有源型电路接收信号,比图腾柱输出能够提供或者吸收更大的电流。

差分数据传输(平衡差分信号)在很多应用中表现出优越的特性,其能够有效的抑制低电势差异和传输网络的共模电压噪声干扰。

下图5给出了差分线驱动输出接口的通信距离和波特率的关系。

图5

数据传输采用RS422接口,通信速率最大可达10MB/s,通信距离可达1200m。同时还可组建多支线网络,允许每支线一个驱动器主站和最多10个从站接收器。这种接口在反向通道不使用的情况下,也可用来替代TTL接口。下表给出了RS422接口的技术特性。

技术指标RS422

工作模式差分

单网络支线允许节点数主站驱动器1/从站接收器10

最大通信距离1200m

最大通信速率(12m~1200m)10MB/s-100KB/s

驱动器最大输出电压-0.25V to +6V

驱动器输出信号电平(最小值) 带负载+/-2.0V

驱动器输出信号电平(最大值) 无负载+/-6V

驱动器负载阻抗(欧姆)100

驱动器高阻态最大电流供电无量值

驱动器高阻态最大电流断电+/-100uA

接收器输入电压-10V ~+10V

接收器输入灵敏度+/-200mV

接收器输入阻抗(欧姆)>4k

什么是集电极开路输出??

1.14 什么是集电极开路输出

NPN集电极开路输出,其NPN晶体管的集电极悬置,用于连接外围接收电路,射极一般连接于电源地(0V或者-Ve),基极连接控制信号电压,当基极On的时候,集电极对地导通。该输出形式用于将小电流或电压转换为大电流或电压,和上拉电阻配合使用,将接收端的正电压导通至电源地,驱动控制器节点、二极管以及继电器等。

PNP集电极开路输出,分析类似。

什么是图腾柱输出??

1.15 什么是图腾柱输出

图腾柱输出,本质上和推挽式输出无大区别,但该术语主要应用于TTL设备。其与推挽式输出的主要区别表现在其可提供或吸收的电流较小。另一个区别为,图腾柱输出仅可输出5V电平信号,而推挽式输出的信号电平为供电电压大小。

什么是推挽式输出??

1.16 什么是推挽式输出

推挽式输出是PNP和NPN输出的复合体,其可随意连接吸收型或源型输入回路。这种输出电流可提供或吸收更多的电流以及提供和供电电压相同的信号电平。当反向通道不用的时候,集电极开路接口可以使用推挽式输出替代。

什么是吸收型输入和源型输入??

1.17 什么是吸收型输入和源型输入

吸收型和源型输入的界定在于电流流入晶体管的电流方向。这意味着谁提供电压和负载的问题。如图6,吸收型输入,外围电路提供电压源和负载,和输入电路形成回路后,电流流向输入电流,并最终返回电源地。图7源型输入,放在外围负载电路前,为其提供电压源和电流流入。关于吸收型输出和源型输出,类同。

图6图7

1.18 什么是正交信号输出什么是正交信号输出??

正交输出是指信号通道A 和B 的脉冲序列存在90度相位差,根据编码器轴的旋转方向不同,A 信号相位领先于B ,或者相反。

1.19 正交输出和4倍频倍频什么什么什么关系关系关系??

正交输出(图8)是为了描述各通道信号的相互相位关系。当A 通道和B 通道的脉冲序列相位差为90度时,我们称其为正交。

图8

4倍频是为了描述控制器如何解析其接收到的编码器脉冲信号的方法。即为,其通过鉴别A 和B 各通道脉冲信号的上升沿和下降沿,并分别在相应位置自生成以单独脉冲。请注意,该功能完全由控制器完成,而不是有编码器完成,编码器仅需提供正交信号即可。

图 9

这意味着,你购买了一款120脉冲每圈的正交输出编码器,并不是说编码器本身会给你每圈提供480脉冲,而是由你的控制器来完成4倍频工作。

1.20 反向通道A 和B 有何用处有何用处??

反向通道A 、B 是相对于A 、B 而言的。例如,当A 通道脉冲为高电平时,A 为低电平,反之亦然。使用反向通道,主要是为了抑制噪声干扰。当专用信号接收模块同时接收到A 和A 信

号时,其将首先比对两信号,消除共模干扰信号,得到无干扰信号。其他反向通道如此类似。这种输出形式,称为差分输出。

1.21 什么是参考脉冲什么是参考脉冲??

参考脉冲,是增量式编码器中的一个绝对参考位置,或称为原点,用来鉴别编码器码盘正好旋转了一圈。

1.22 为何需要使用上拉电阻为何需要使用上拉电阻??

上拉电阻,用来提升信号逻辑高电平达到正常的工作电压水平。一般用在集电极开路输出或者由于噪声原因,提升为达到正常逻辑高电平的信号电压为正常值。

1.23 更换编码器必须断电停机吗更换编码器必须断电停机吗??

必须。否则,如果供电意外短路或者接地,将有可能损毁编码器。

连接到输出通道会造成什么后果??

1.24 意外将24V DC连接到输出通道会造成什么后果

请务必注意,不要将24V DC连接到输出通道,其将可能彻底损毁编码器电子部件。图10展示了一该原因烧毁的编码器。

图10

编码器故障诊断需要什么检测设备??

1.25 编码器故障诊断需要什么检测设备

示波器或专用编码器检测仪器。万用表也可用于基本诊断,检测供电状态和低频脉冲输出等。

更进一步的检测必须在专业人员的指导下,借助示波器完成。

等级??

1.26 什么是IP等级

IP(Ingress Protection)防护等级系统是由IEC国际电工委员会所起草的,用来描述设备对灰尘和水的侵入可能界定的标准。等级划分具体如下:

2 绝对值编码器

2.1 什么是绝对值编码器?

绝对值编码器可理解为增量式编码器的升级改进。增量式编码器仅能检测位置的相对变动(根据这些值,可计算获得速度和加速度等),无法确定物体的绝对位置。绝对值编码器既能解决这一问题,反馈物体绝对位置。

这种编码器和增量式编码器一样,采用交替透光和不透光的栅格划分,但却从内到外含有多圈同心的这样的码道,形如掷标的圆靶。这些同心圆码道从内到外每层码道对应着二进制或者格雷码的一个数制位。一个12位分辨率的编码器,码盘上将有12圈码道。沿径向同时扫描这些码道,绝对值编码器将对360度角度内的任意位置给出唯一对应的二进制码或者格雷码。因为这些码值蚀刻于码盘,每次设备上电后即可立即读出,所以不必担心设备掉电后位置信息的丢失,绝对值编码器始终反馈出正确的位置。

绝对值编码器的分辨率采用“位”单位标示,倍加福编码器单圈分辨率一般介于12~16位。通常应用于,需要精确可靠位置反馈的机器设备。

2.2 绝对值编码器和增量式编码器的区别是什么

绝对值编码器和增量式编码器的区别是什么??

绝对值编码器和增量式编码器的区别如下:

a. 增量式编码器在整个运动测量范围内始终重复的发送相同的脉冲信号。

b. 增量式编码器在每一机械位置反馈的信号不是唯一确定的。

c. 增量式编码器上电起始无法知道当前的确定位置,因为增量式编码器在每一位置反馈的信号不

是唯一对应的。

d. 绝对值编码器在一圈内任一位置反馈确定的一一对应的数字编码,例如二进制,而不是脉冲输

出。这点,当你需要确定一个绝对位置的时候,非常重要并且方便。

e. 因为绝对值编码器在每一位置均由唯一对应的编码值,这样如果设备掉电或者故障,确切的位

置值只要一上电即可获得,不会丢失。

绝对值编有哪些输出码制??

2.3 绝对值编有哪些输出码制

绝对值编码器一般使用二进制码和格雷码两种码值。

二进制码:

二进制码(图11)是双态码值系统的经典码制,可以类似十进制码简单计算。但是这种码制属于多步码,从一个数字变换为相邻数字的时候,可能多位同时变化,例如从数字7到8,位0、

1、2同时变化。

图11

为了保证数据传输安全,必须保证码值一位一位的变化。接收控制器将根据条件判断,数据是否可靠,否则进行修复。

格雷码:

格雷码(图12)是一种单步码。

图12

仔细观察这种码的逐步变化,你将发现相邻数字的改变,仅一位变化。但这种码的计算,必须首先转换为二进制码才可以。在选用并行接口传送数据的时候,强烈推荐使用该码制传输。

什么是格雷码??

2.4 什么是格雷码

格雷码是二进制码的一种变形。两者的区别表现在相邻数字的变化特征。格雷码在相邻数字间增减时仅有一位变化。如下图,格雷码序列按二进制直译为十进制的结果为0、1、3、2、6、7,而真实的二进制序列则为0、1、2、3、4、5。

格雷码主要用于防止相邻数据位间变换的错误。以带有两个高电平1的二进制数字序列为例,由于时钟或者电缆分布容抗的原因,0011变换为0100的过程中可能产生0111,但是格雷码不可能发生这种后果。

2.5 如何转换格雷码为二进制码

如何转换格雷码为二进制码??

格雷码转换为二进制码的步骤如下:

1 将格雷码最高位不变,直接放在二进制的最高位

2 将右图加亮显示的数据位,即二进制高位和格雷码次高位,

相加,去掉进位,填写在二进制的次高位。

3 重复以上步骤2,直至完成转换。

什么是单圈绝对值编码器??

2.6 什么是单圈绝对值编码器

以16位单圈绝对值编码器为例,一圈360°将被等分为65536步(216),你将对某一具体轴位获得0~65535的唯一数字对应。当编码器旋转超过一圈后,数值重复,编码器不会对旋转的圈数进行计数反馈。

2.7 什么是多圈编码器

什么是多圈编码器??

多圈编码器,除了带有和单圈编码器一样的码盘为,还额外的有一套齿轮机构。这一齿轮机构通过变比因子,可以记录编码器旋转的圈数信息。最大可达16384圈,14位。

因此,单圈分辨率16位加上多圈分辨率14位,总分辨率可达30位(1,073,741,824步)。此多达十亿步的测量范围,十分有利于将超长的测量范围划分为足够小的测量单位,例如度量地球的圆周长,精度可达3cm每步。

3 NAMUR本安型编码器

为何需要它??

3.1 什么是NAMUR 本安型编码器

本安型编码器,,为何需要它

本质安全(Intrinsically safe) 是为了描述在规定条件(包括正常工作和规定的故障条件)下产生的任何电火花或任何热效应均不能点燃规定的爆炸性气体环境的设备电路。本质安全的布线及端子可任意在各分类/组别的危险区域而不需要包括隔爆在内的额外防护。

本安型编码器主要应用于爆炸起火危险区域,例如汽油、细锯末、化工等易燃物质场合。这种编码器,即使短路或存在电压尖峰,也不会产生火花威胁到你的系统安全。

本安型编码器可以应用于石油精炼厂吗??

3.2 本安型编码器可以应用于石油精炼厂吗

可以。但你还必须配套使用一套隔离栅设备,否则无法使用。要么,就直接使用隔爆型编码器。

什么是隔离栅或者隔离器

3.3 什么是隔离栅

或者隔离器??

或者隔离器

隔离栅设备用于保护传感器免受电压尖峰和短路的影响。该设备通常含有一个齐纳二极管和一个保险管。当电压尖峰或短路发生,只会损毁保险管,而不会危及到传感器,以保证你的系统免受起火危险。和传感器置于危险现场环境不同,隔离栅必须安装在非危险区域,并必须可靠接地。

隔离器,和隔离栅具有相同的功能,主要用于信号的电隔离。这种设备无须考虑接地,安装简单可靠。例如在沙漠环境,很难找到一个合适的接地点,隔离器是一个比较好的选择。同隔离栅设备一样,隔离器必须安装在非危险区域。

强烈建议,在使用隔离栅的时候,保证可靠稳定的供电和接地系统,否则将增大编码器损坏的风险。如果你的隔离栅的保险管经常性的烧坏,请注意及时检查你的供电系统和接地系统,这表明其中存在问题。如果不是隔离栅的原因,其十分可能造成你的工厂付之一烛。

两者有什么区别??

3.4 电器设备分类IIB 和IIC两者有什么区别

两者均表示电器设备为II类,即除煤矿外的其他爆炸性气体环境用电气设备。B和C又是II类设备按爆炸性气体特性的进一步分类标识。IIB为一般工业防爆应用环境,IIC分类则对应更多的危险气体表现安全。倍加福IIC类别的4~20mA和Namur本安设备,可常规用于近海石油开采。

3.5 什么是0区?

危险场所,根据爆炸性气体环境出现的频率和持续时间分为以下区域:

0区爆炸性气体环境连续出现或长时间存在的场所。

1区在正常运行时,可能出现爆炸性气体环境的场所。

2区在正常运行时,不可能出现爆炸性气体环境,如果出现也是偶尔发生并且仅是短时间存在的场合。

危险场所,根据可燃性粉尘空气混合物出现的频率和持续时间及粉尘层厚度进行的分类

20 区在正常运行过程中可燃性粉尘连续出现或经常出现,其数量足以形成可燃性粉尘与

空气混合物和可能形成无法控制和极厚的粉尘层的场所及容器内部。

21 区

在正常运行过程中可能出现粉尘数量足以形成可燃性粉尘与空气混合物但未划入20 区的场所。该区域包括与充入或排放粉尘点直接相邻的场所出现粉尘层和正常操作情况下可能产生可燃浓度的可燃性粉尘与空气混合物的场所

22 区

在异常条件下可燃性粉尘云偶尔出现并且只是短时间存在或可燃性粉尘偶尔出现堆积或可能存在粉尘层并且产生可燃性粉尘空气混合物的场所。如果不能保证排除可燃性粉尘堆积或粉尘层时则应划分为21 区。

3.6 如果不便使用隔离栅如果不便使用隔离栅,,有其它有其它选择选择选择方案吗方案吗方案吗??

你可以考虑使用隔爆型编码器,其外壳含有一个熄火通道,火花不可能从设备内部溢出。防爆级别EX d IIC , 1区防爆。

3.7 隔爆型编码器比较便宜吗隔爆型编码器比较便宜吗??

不一定。隔爆型编码器由于采用不锈钢或铝外壳密封,价格非常昂贵。但如果你使用隔离栅存在问题,其将是一个不错的选择。和普通编码器一样,设备即插即用,电子元器件完全密封于安全罩壳内,不需要关心最大电压和电流(至少对于编码器,因为编码器的供电电压和工作电流永不会超过40V 和200mA ),非常方便。

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

编码器使用教程与测速原理

编码器使用教程与测速原理 我们将通过这篇教程与大家一起学习编码器的原理,并介绍一些实用的技术。 1.编码器概述 编码器是一种将角位移或者角速度转换成一连串电数字脉冲的旋转式传感器,我们可以通过编码器测量到底位移或者速度信息。编码器从输出数据类型上分,可以分为增量式编码器和绝对式编码器。 从编码器检测原理上来分,还可以分为光学式、磁式、感应式、电容式。常见的是光电编码器(光学式)和霍尔编码器(磁式)。 2.编码器原理 光电编码器是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。光电编码器是由光码盘和光电检测装置组成。光码盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,检测装置检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。 霍尔编码器是一种通过磁电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。霍尔编码器是由霍尔码盘和霍尔元件组成。霍尔码盘是在一定直径的圆板上等分地布置有不同的磁极。霍尔码盘与电动机同轴,电动机旋转时,霍尔元件检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。

可以看到两种原理的编码器目的都是获取AB相输出的方波信号,其使用方法也是一样,下面是一个简单的示意图。 3.编码器接线说明 具体到我们的编码器电机,我们可以看看电机编码器的实物。 这是一款增量式输出的霍尔编码器。编码器有AB相输出,所以不仅可以测速,还可以辨别转向。根据上图的接线说明可以看到,我们只需给编码器电源5V供电,在电机转动的时候即可通过AB相输出方波信号。编码器自带了上拉电阻,所以无需外部上拉,可以直接连接到单片机IO读取。

编码器基础知识大全

编码器 科技名词定义 中文名称: 编码器 英文名称: coder;encoder 定义: 一种按照给定的代码产生信息表达形式的器件。 应用学科: 通信科技(一级学科);通信原理与基本技术(二级学科)以上内容由全国科学技术名词审定委员会审定公布 编码器 编码器(encoder)是将信号(如比特流)或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。编码器把角位移或直线位移转换成电信号,前者称为码盘,后者称为码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电

刷接触导电区或绝缘区来表示代码的状态是"1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是"1”还是"0”,通过"1”和“0”的二进制编码来将采集来的物理信号转换为机器码可读取的电信号用以通讯、传输和储存。 作用 设计图纸 利用电磁感应原理将两个平面型绕组之间的相对位移转换成电信号的测量元件,用于长度测量工具。感应同步器(俗称编码器、光栅尺)分为直线式和旋转式两类。前者由定尺和滑尺组成,用于直线位移测量;后者由定子和转子组成,用于角位移测量。1957年美国的R.W.特利普等在美国取得感应同步器的专利,原名是位置测量变压器,感应同步器是它的商品名称,初期用于雷达天线的定位和自动跟踪、导弹的导向等。在机械制造中,感应同步器常用于数字控制机床、加工中心等的定位反馈系统中和坐标测量机、镗床等的测量数字显示系统中。它对环境条件要求较低,能在有少量粉尘、油雾的环境下正常工作。定尺上的连续绕组

的周期为2毫米。滑尺上有两个绕组,其周期与定尺上的相同,但相互错开1/4周期(电相位差90°)。感应同步器的工作方式有鉴相型和鉴幅型的两种。前者是把两个相位差90°、频率和幅值相同的交流电压U1 和U2分别输入滑尺上的两个绕组,按照电磁感应原理,定尺上的绕组会产生感应电势U。如滑尺相对定尺移动,则U的相位相应变化,经放大后与U1和U2比相、细分、计数,即可得出滑尺的位移量。在鉴幅型中,输入滑尺绕组的是频率、相位相同而幅值不同的交流电压,根据输入和输出电压的幅值变化,也可得出滑尺的位移量。由感应同步器和放大、整形、比相、细分、计数、显示等电子部分组成的系统称为感应同步器测量系统。它的测长精确度可达3微米/1000毫米,测角精度可达1″/360°。 分类 按照工作原理编码器可分为增量式和绝对式两类。 增量式 增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。 绝对式

测速编码器

测速编码器技术参数 电机的位置检测在电机控制中是十分重要的,特别是需要根据精确转子位置控制电机运动状态的应用场合,如位置伺服系统。电机控制系统中的位置检测通常有:微电机解算元件,光电元件,磁敏元件,电磁感应元件等。这些位置检测传感器或者与电机的非负载端同轴连接,或者直接安装在电机的特定的部位。其中光电元件的测量精度较高,能够准确的反应电机的转子的机械位置,从而间接的反映出与电机连接的机械负载的准确的机械位置,从而达到精确控制电机位置的目的。本文主要介绍高精度的光电编码器的内部结构、工作原理与位置检测的方法。 一.光电编码器的介绍: 光电编码器是通过读取光电编码盘上的图案或编码信息来表示与光电编码器相连的电机转子的位置信息的。根据光电编码器的工作原理可以将光电编码器分为绝对式光电编码器与增量式光电编码器,下面我就这两种光电编码器的结构与工作原理做介绍。 1、绝对式光电编码器 绝对式光电编码器如图所示,他是通过读取编码盘上的二进制的编码信息来表示绝对位置信息的。编码盘是按照一定的编码形式制成的圆盘。图1是二进制的编码盘,图中空白部分是透光的,用“0”来表示;涂黑的部分是不透光的,用“1”来表示。通常将组成编码的圈称为码道,每个码道表示二进制数的一位,其中最外侧的是最低位,最里侧的是最高位。如果编码盘有4个码道,则由里向外的码道分别表示为二进制的23、22、21和20,4位二进制可形成16个二进制数,因此就将圆盘划分16个扇区,每个扇区对应一个4位二进制数,如0000、0001、…、1111。 按照码盘上形成的码道配置相应的光电传感器,包括光源、透镜、码盘、光敏二极管和驱动电子线路。当码盘转到一定的角度时,扇区中透光的码道对应的光敏二极管导通,输出低电平“0”,遮光的码道对应的光敏二极管不导通,输出高电

绝对式光电编码器基本构造及特点

绝对式光电编码器基本构造及特点 用增量式光电编码器有可能由于外界的干扰产生计数错误,并且在停电或故障停车后无 法找到事故前执行部件的正确位置。采用绝对式光电编码器可以避免上述缺点。绝对式光电编码器的基本原理及组成部件与增量式光电编码器基本相同,也是由光源、码盘、检测光栅、光电检测器件和转换电路组成。与增量式光电编码器不同的是,绝对式光电编码器用不同的数码来分别指示每个不同的增量位置,它是一种直接输出数字量的传感器。在它的圆形码盘上沿径向有若干同心码道,每条上由透光和不透光的扇形区相间组成,相邻码道的扇区数目是双倍关系,码盘上的码道数就是它的二进制数码的位数,在码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读出一个固定的与位置相对应的数字码。显然,码道越多,分辨率就越高,对于一个具有N 位 二进制分辨率的编码器,其码盘必须有N 条码道。绝对式光电编码器原理如图1-8 所示。 绝对式光电编码器是利用自然二进制、循环二进制(格雷码)、二-十进制等方式进行光 电转换的。绝对式光电编码器与增量式光电编码器不同之处在于圆盘上透光、不透光的线条图形,绝对光电编码器可有若干编码,根据读出码盘上的编码,检测绝对位置。它的特点是:可以直接读出角度坐标的绝对值;没有累积误差;电源切除后位置信息不会丢失;编码器的精度取决于位数;最高运转速度比增量式光电编码器高。 图1-8 绝对式光电编码器原理 1.3.2 码制与码盘 绝对式光电编码器的码盘按照其所用的码制可以分为:二进制码、循环码(格雷码)、 十进制码、六十进制码(度、分、秒进制)码盘等。四位二元码盘(二进制、格雷码)如图1-9 所示。图中黑、白色分别表示透光、不透光区域。

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

测速编码器说明书

BEN测速编码器在智能车舵机控制中的应用2.1 舵机工作原理 舵机在6 V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2 V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6 V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2 舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

倍加福编码器基础讲解

P+F Absolute Rotary Encoder通讯参数设置 型号

1、地址选择和终端电阻1.1站地址 1.2 终端电阻 2、信号和电源线的连接

3、安装GSD文件 GSD文件为电子设备数据库文件,是可读的ASCII码文件。不同厂家的PROFIBUS产品集成在一起,生产厂家必须以GSD文件方式提供这些产品的功能参数,例如I/O点数、诊断信息、传输速率、时间监视等。在Step 7 的SIMATIC 管理器中打开硬件组态工具HW Config ,安装GSD后,在右边的硬件目录PROFIBUS DP→Additional Field Devices→Encoders→ENCODER将会出现刚刚安装的P+F Rotary Encoder。其数据传输原理如图所示。 4、组态通讯参数

在Step 7硬件配置窗口中,双击P+F Rotary Encoder 图标,打开编码器(DP Slave)的参数设置窗口,如图所示。结合工程实际,在此窗口中进行参数设置: a、代码顺序(Code Sequence):计数方向, CW(顺时针旋转,代码增加),CCW (逆时针旋转,代码增加); b、标定功能控制(Scaling function control):只有设置成Enable ,下面 c、d和e的设置才会生效; c、单圈分辨率(Measuring units per revolution):8192; d、测量范围高位(Total measuring range(units)hi): 512; e、测量范围低位(Total measuring range(units)lo): 0; f、其它参数采用默认值。 注:1、由c可以计算出编码器每圈产生(=8192)个二进制码,即单圈精度为13位。2、由d和e可以计算出编码器最大可以转(=512×65536+0)圈,即多圈精度为12位。 5、预置值 6、LED状态灯指示信息

编码器测速

飞思卡尔智能车舵机和测速的控制设计与实现 时间:2010-04-1411:53:10来源:电子设计工程作者:雷贞勇谢光骥五邑大学 2.1舵机工作原理 舵机在6V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

飞思卡尔光电编码器测速程序

#include /* common defines and macros */ #include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xs128" volatile uint speed_back=0,temp=0; void delay_ms(uint ms) { volatile uint x=0; while(ms--) { for(x=2800;x>0;x--) { _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); } } } //注意外接16M晶体。 //飞思卡尔推荐配置,主频道50MHZ,速度更快! void Init_PLL(void) { CLKSEL = 0X00; //disengage PLL to system PLLCTL_PLLON = 1; //turn on PLL SYNR = (0xc0|0x18); //SYDIV=0X18=24 REFDV = (0x40|0x07); //REFDIV=0X07=7 POSTDIV = 0x00; //pllclock=2*osc*(1+SYDIV)/(1+REFDIV)=100MHz; _asm(nop); //BUS CLOCK=50M _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop);

基于51单片机的光电编码器测速报告

课程设计报告 课程名称:微机原理课程设计 题目:基于51单片机的光电编码器测速

光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

一、设计任务与要求 (4) 1.1 设计任务 (4) 1.2 设计要求 (4) 二、方案总体设计 (5) 2.1 方案一 (5) 2.2 方案二 (5) 2.3 系统采用方案 (5) 三、硬件设计 (7) 3.1 单片机最小系统 (7) 3.2 液晶显示模块 (7) 3.3 系统电源 (8) 3.4光电编码器电路 (8) 3.5 整体电路 (9) 四、软件设计 (10) 4.1 keil软件介绍 (10) 4.2 系统程序流程 (10) 五、仿真与实现 (12) 5.1 proteus软件介绍 (12) 5.2 仿真过程 (12) 5.3 实物制作与调试 (13) 5.4 使用说明 (14) 六、总结 (15) 6.1 设计总结 (15) 6.2 经验总结 (15) 七、参考文献 (16)

编码器基础

1 编码器基础 1.1光电编码器 编码器是传感器的一种,主要用来检测机械运动的速度、位置、角度、距离和计数等,许多马达控制均需配备编码器以供马达控制器作为换相、速度及位置的检出等,应用范围相当广泛。按照不同的分类方法,编码器可以分为以下几种类型: 根据检测原理,可分为光学式、磁电式、感应式和电容式。 根据输出信号形式,可以分为模拟量编码器、数字量编码器。 根据编码器方式,分为增量式编码器、绝对式编码器和混合式编码器。 光电编码器是集光、机、电技术于一体的数字化传感器,主要利用光栅衍射的原理来实现位移——数字变换,通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。典型的光电编码器由码盘、检测光栅、光电转换电路(包括光源、光敏器件、信号转换电路)、机械部件等组成。光电编码器具有结构简单、精度高、寿命长等优点,广泛应用于精密定位、速度、长度、加速度、振动等方面。 这里我们主要介绍SIMATIC S7系列高速计数产品普遍支持的增量式编码器和绝对式编码器。 1.2增量式编码器 增量式编码器提供了一种对连续位移量离散化、增量化以及位移变化(速度)的传感方法。增量式编码器的特点是每产生一个输出脉冲信号就对应于一个增量位移,它能够产生与位移增量等值的脉冲信号。增量式编码器测量的是相对于某个基准点的相对位置增量,而不能够直接检测出绝对位置信息。 如图1-1所示,增量式编码器主要由光源、码盘、检测光栅、光电检测器件和转换电路组成。在码盘上刻有节距相等的辐射状透光缝隙,相邻两个透光缝隙之间代表一个增量周期。检测光栅上刻有A、B两组与码盘相对应的透光缝隙,用以通过或阻挡光源和光电检测器件之间的光线,它们的节距和码盘上的节距相等,并且两组透光缝隙错开1/4节距,使得光电检测器件输出的信号在相位上相差90°。当码盘随着被测转轴转动时,检测光栅不动,光线透过码盘和检测光栅上的透过缝隙照射到光电检测器件上,光电检测器件就输出两组相位相 差90°的近似于正弦波的电信号,电信号经过转换电路的信号处理,就可以得到被测轴的转角或速度信息。 图1-1增量式编码器原理图

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

编码器基础知识

各种输出形式的旋转编码器与后续设备(PLC、计数器等)接线分别怎么接? ⑴与PLC连接,以CPM1A为例 ①NPN集电极开路输出 方法1:如下图所示 这种接线方式应用于当传感器的工作电压与PLC的输入电压不同时,取编码器晶体管部分,另外串入电源,以无电压形式接入PLC。但是需要注意的是,外接电源的电压必须在DC30V以下,开关容量每相35mA以下,超过这个工作电压,则编码器内部可能会发生损坏。 具体接线方式如下:编码器的褐线接编码器工作电压正极,蓝线接编码器工作电压负极,输出线依次接入PLC的输入点,蓝线接外接电源负极,外接电源正极接入PLC的输入com端。 方法2:编码器的褐线接电源正极,输出线依次接入PLC的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 ②电压输出 接线方式如图所示:

具体接线方式如下:编码器的褐线接电源正极,输出线依次接入PLC 的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 不过需要注意的是,不能以下图方式接线。 ③PNP集电极开路输出 接线方式如下图所示: 具体接线方式如下:编码器的褐线接工作电压正极,蓝线接工作电压负极,输出线依次接入PLC的输入com端,再从电源负极端拉根线接入PLC的输入com端。 ④线性驱动输出 具体接线如下:输出线依次接入后续设备相应的输入点,褐线接工作电压的正极,蓝线接工作电压的负极。 ⑵与计数器连接,以H7CX(OMRON制)为例 H7CX输入信号分为无电压输入和电压输入。 ①无电压输入: 以无电压方式输入时,只接受NPN输出信号。 NPN集电极开路输出的接线方式如下:

基于51单片机的光电编码器测速

摘要 光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

目录 一、设计任务与要求 (3) 1.1 设计任务 (3) 1.2 设计要求 (3) 二、方案总体设计 (4) 2.1 方案一 (4) 2.2 方案二 (4) 2.3 系统采用方案 (4) 三、硬件设计 (6) 3.1 单片机最小系统 (6) 3.2 液晶显示模块 (6) 3.3 系统电源 (7) 3.4光电编码器电路 (7) 3.5 整体电路 (8) 四、软件设计 (9) 4.1 keil软件介绍 (9) 4.2 系统程序流程 (9) 五、仿真与实现 (11) 5.1 proteus软件介绍 (11) 5.2 仿真过程 (11) 5.3 实物制作与调试 (12) 5.4 使用说明 (13) 六、总结 (14) 6.1 设计总结 (14) 6.2 经验总结 (14) 七、参考文献 (15)

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

编码器入门学习

编码器入门学习 编码器的工作原理简介 绝对脉冲编码器:APC 增量脉冲编码器:SPC 两者一般都应用于速度控制或位置控制系统的检测元件. 旋转编码器是用来测量转速的装置。它分为单路输出和双路输出两种。技术参数主要有每转脉冲数(几十个到几千个都有),和供电电压等。单路输出是指旋转编码器的输出是一组脉冲,而双路输出的旋转编码器输出两组相位差90度的脉冲,通过这两组脉冲不仅可以测量转速,还可以判断旋转的方向。 增量型编码器与绝对型编码器的区分 编码器如以信号原理来分,有增量型编码器,绝对型编码器。 增量型编码器 (旋转型) 工作原理: 由一个中心有轴的光电码盘,其上有环形通、暗的刻线,有光电发射和接收器件读取,获得四组正弦波信号组合成A、B、C、D,每个正弦波相差90度相位差(相对于一个周波为360度),将C、D信号反向,叠加在A、B两相上,可增强稳定信号;另每转输出一个Z相脉冲以代表零位参考位。 由于A、B两相相差90度,可通过比较A相在前还是B相在前,以判别编码器的正转与反转,通过零位脉冲,可获得编码器的零位参考位。 编码器码盘的材料有玻璃、金属、塑料,玻璃码盘是在玻璃上沉积很薄的刻线,其热稳定性好,精度高,金属码盘直接以通和不通刻线,不易碎,但由于金属有一定的厚度,精度就有限制,其热稳定性就要比玻璃的差一个数量级,塑料码盘是经济型的,其成本低,但精度、热稳定性、寿命均要差一些。 分辨率—编码器以每旋转360度提供多少的通或暗刻线称为分辨率,也称解析分度、或直接称多少线,一般在每转分度5~10000线。 信号输出: 信号输出有正弦波(电流或电压),方波(TTL、HTL),集电极开路(PNP、NPN),推拉式多种形式,其中TTL为长线差分驱动(对称A,A-;B,B-;Z,Z-),HTL也称推拉式、推挽式输出,编码器的信号接收设备接口应与编码器对应。 信号连接—编码器的脉冲信号一般连接计数器、PLC、计算机,PLC和计算机连接的模块有低速模块与高速模块之分,开关频率有低有高。 如单相联接,用于单方向计数,单方向测速。 A.B两相联接,用于正反向计数、判断正反向和测速。 A、B、Z三相联接,用于带参考位修正的位置测量。 A、A-, B、B-,Z、Z-连接,由于带有对称负信号的连接,电流对于电缆贡献的电磁场为0,衰减最小,抗干扰最佳,可传输较远的距离。 对于TTL的带有对称负信号输出的编码器,信号传输距离可达150米。 对于HTL的带有对称负信号输出的编码器,信号传输距离可达300米

光电编码器测速

实验三光电传感器转速测量实验 实验目的 1.通过本实验了解和掌握采用光电传感器测量的原理和方法。 2.通过本实验了解和掌握转速测量的基本方法。 实验原理 直接测量电机转速的方法很多,可以采用各种光电传感器,也可以采用霍尔元件。本实验采用光电传感器来测量电机的转速。 由于光电测量方法灵活多样,可测参数众多,一般情况下又具有非接触、高精度、高分辨率、高可靠性和相应快等优点,加之激光光源、光栅、光学码盘、CCD器件、光导纤维等的相继出现和成功应用,使得光电传感器在检测和控制领域得到了广泛的应用。光电传感器在工业上的应用可归纳为吸收式、遮光式、反射式、辐射式四种基本形式。图3.31说明了这四种形式的工作方式。 图3.31 光电传感器的工作方式 图3.32直射式光电转速传感器的结构图 直射式光电转速传感器的结构见图3.32。它由开孔圆盘、光源、光敏元件及缝隙板等组成。开孔圆盘的输入轴与被测轴相连接,光源发出的光,通过开孔圆盘和缝隙板照射到光敏元件上被光敏元件所接收,将光信号转为电信号输出。开孔圆盘上有许多小孔,开孔圆盘旋转一周,光敏元件输出的电脉冲个数等于圆盘的开孔数,因此,可通过测量光敏元件输出的脉冲频率,得知被测转速,即 n=f/N 式中:n - 转速f - 脉冲频率N - 圆盘开孔数。 反射式光电传感器的工作原理见图3.33,主要由被测旋转部件、反光片(或反光贴纸)、

反射式光电传感器组成,在可以进行精确定位的情况下,在被测部件上对称安装多个反光片或反光贴纸会取得较好的测量效果。在本实验中,由于测试距离近且测试要求不高,仅在被测部件上只安装了一片反光贴纸,因此,当旋转部件上的反光贴纸通过光电传感器前时,光电传感器的输出就会跳变一次。通过测出这个跳变频率f,就可知道转速n。 n=f 如果在被测部件上对称安装多个反光片或反光贴纸,那么,n=f/N。N-反光片或反光贴纸的数量。 图3.33 反射式光电转速传感器的结构图 实验仪器和设备 1. 计算机 n台 2. DRVI快速可重组虚拟仪器平台 1套 3. 并口数据采集仪(DRDAQ-EPP2)1台 4. 开关电源(DRDY-A)1台 5. 光电转速传感器(DRHYF-12-A) 1套 6. 转子/振动实验台(DRZZS-A)/(DRZD-A) 1 台 实验步骤及内容 1.光电传感器转速测量实验结构示意图如图3.34所示,按图示结构连接实验设备, 其中光电转速传感器接入数据采集仪A/D输入通道。 图3.34 转速测量实验结构示意图 2.启动服务器,运行DRVI程序,点击DRVI快捷工具条上的“联机注册”图标,选择 其中的“DRVI采集仪主卡检测”进行服务器和数据采集仪之间的注册。联机注册成功后,从DRVI工具栏和快捷工具条中启动“内置的Web服务器”,开始监听8500端口。 3.打开客户端计算机,启动计算机上的DRVI程序,然后点击DRVI快捷工具条上的“联 机注册”图标,选择其中的“DRVI局域网服务器检测”,在弹出的对话框中输入服务器IP地址(例如:192.168.0.1),点击“发送”按钮,进行客户端和服务器之间的认证,认证完毕即可正常运行客户端所有功能。 4.在收藏菜单栏中选中“实验指导书”菜单项打开WEB版实验指导书,在实验目录中

相关主题