搜档网
当前位置:搜档网 › 基本模型机设计及实现

基本模型机设计及实现

基本模型机设计及实现
基本模型机设计及实现

课程设计任务书课程名称:计算机组成原理

设计题目:(共3个课题,最多3人一组,每组任选一题)

1.基本模型机设计与实现;

2.带移位运算的模型机的设计与实现;

3.复杂模型机的设计与实现。

已知技术参数和设计要求:

内容和技术参数:

利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。

1.基本模型机设计与实现

指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。

2. 带移位运算的模型机的设计与实现

在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令

3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。

以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求:

1、确定设计目标

确定所设计计算机的功能和用途。

2、确定指令系统

确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。

3、总体结构与数据通路

总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。

综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。

4、设计指令执行流程

数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。

5、确定微程序地址

根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。

6、微指令代码化

根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

7、组装、调试

在总调试前,先按功能模块进行组装和分调,因为只有各功能模块工作正常后,才能保证整机的正常运行。

当所有功能模块都调试正常后,进入总调试。连接所有模块,用单步微指令方式执行机器指令的微程序流程图,当全部微程序流程图检查完后,若运行结果正确,则在内存中装入一段机器指令,进行其他的运行方式等功能调试及执行指令的正确性验证。

课程设计说明书要求:

课程设计说明书按学校统一格式撰写和装订。课程设计报告要求打印,其中的数据通路框图、微程序流程图、实验接线图用VISIO等工具软件绘制或用铅笔工工整整绘制。

(1)封面(包括:题目、所在系、班级、学号、指导教师及时间等项,可到教务处网页上下载)

(2)任务书

(3)目录

目录要层次清晰,要给出标题及页次,目录的最后一项是无序号的“参考文献”。(4)正文

正文应按目录中编排的章节依次撰写,要求计算正确,论述清楚,文字简练通顺,插图清晰,书写整洁。文中图、表及公式应规范地绘制和书写。

正文是实践设计报告的主体,具体由以下几部分组成:

1)课程设计题目;

2)课程设计使用的实验设备;

3)课程设计步骤(包括确定所设计计算机的功能和用途、指令系统、总体结构与数据通路、设计指令执行流程、确定微程序地址、微指令代码化、组装、调试。)

4)课程设计总结(包括自己的收获与体会;遇到的问题和解决的方法等);(5)附录

附录1:数据通路图

附录2:微程序流程图

附录3:实验接线图

附录4:实验程序及微程序

附录5:参考文献(资料)(格式规范参照长沙学院毕业设计(论文)撰写规范)

设计工作量:

(1)作品:设计的最终作品包括硬件和软件两个部分,要求能够演示并达到设计指标的要求。每个学生(或小组)在作品完成后,要经指导教师检查,同意拆除后方可拆卸。

(2)论文:严格按上述课程设计说明书的要求撰写和装订。每个学生一份。

成绩评定标准:

课程设计的成绩分为:优秀:、良好、中等、及格、不及格五个等级。

优秀:完成复杂模型机的设计与实现,指令系统完备有更新扩充。调试成功。文档规范齐全。

良好:完成模型机的设计与实现,指令系统指令种类丰富有一定的更新。调试成功。文档规范齐全。

中等:完成基本模型机的设计与实现,在老师指导下对指令系统有更新。调试成功。文档规范齐全。

及格:完成基本模型机的设计与实现。调试成功。文档规范齐全。

不及格:没有课程设计报告,无故缺勤,不能完成调试者不及格。

工作计划:

时间:15、16周

讲授:2课时

答疑及设计:22课时

上机调试:12课时

答辩:4课时

指导教师签名:日期:2010-12-10

教研室主任签名:日期:

系主任签名:日期:

设计背景

通过计算机组成原理理论课和几次实验的学习,尝试设计六条机器指令,并编写相应的微程序,完成由基本单元电路构成一台基本模型机,再经过调试指令和模型机使其在微程序的控制下自动产生各部件单元的正常工作控制信号。

在设计基本模型机4的实验过程中,个别部件单元的控制信号是人为模拟产生的,而本课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序

列来完成,即一条机器指令对应一条微程序。

本课程设计要求实现六条机器指令:IN(输入),与AND(逻辑乘),STA(存数),OUT(输出),或OR(逻辑加),异或XOR(逻辑异)的输入,输出。重点主要在逻辑运算的设计中。

设计目标

在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。

本次课程设计主要是为其定义六条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。

用微程序控制器实现以下指令功能,设计各指令格式以及编码,并实现各机器指令微代码,根据定义的机器指令,自拟编写加ADD,减SUB,循环左移RLC的应用程序。

全部微指令设计完毕后,编写二进制代码,即使每条指令代码化。连接线路在ZY15CompSys12BB计算机组成原理教学实验箱上运行,并显示输出实验结果。概要设计

1. 在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台稍微复杂的模型计算机;

2. 为其定义六条机器指令,并编写相应的微程序,具体上机调试掌握整机概念设计仪器

TDX—CM+计算机组成原理教学实验系统一台,排线若干;

PC机一台。

设计内容

部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能,这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

本实验采用六条机器指令:IN,OUT,STA,加ADD,减SUB,循环左移RLC其指格式如下:

地址内容助记符说明?

0000IN ;输入开关数据→R0,采集数据

0110ADD[0AH] ;R0+[0AH]→R0

020A ;地址

0320STA[0BH] ;R0→[0BH]

040B ;地址

0530OUT[0BH] ;[0BH]→BUS,输出显示

060B ;地址

0740SUB[0AH] ;R0-[0AH]→R0

080A ;地址

0950RLC

0A01

0B

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序.

存储器读操作:拨动总清开关CLR后,控制台开关SWB,SWA 为”0 0”时,按START微动开关,可对RAM连续手动读操作.

存储器写操作:拨动总清开关CLR后,控制台开关SWB SWA置为”0 1”时,按START微动开关可对RAM进行连续手动写入.

启动程序:拨动总清开关CLR后,控制台开关SWB SWA置为“1 1”时,按START微动开关,既可转入到第01号“取址”微指令,启动程序运行.

上述三条控制台指令用两个开关SWB SWA 的状态来设置,其定义如下

表3-1读写变化

微代码定义如表

表3-3 A,B,P字段内容

A字段 B字段 P字段

当拟定“取指令”微指令时,该微指令的判别测试字段为P1测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P1测试结果出现多路分支。本次课程设计用指令寄存器的前4位(I7-I4)作为测试条件,出现6路分支,占用6个固定微地址单元。

控制台操作为P4测试,它以控制台开关SWB,SWA作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其他地方就可以一条微指令占用控存一个微地址单元随意填写。

当全部微程序设计完毕之后,应将每条微指令代码化:

10 11 12 13 14 15

01 03 07 16 26 30

04 26 17 31 01

32

01

33

01 01

01 控制台

00 20

WRITE (01)

20

01

30 27

表3-4 二进制微代码表

下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把他从内存取到缓冲寄存器中,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试[P (1)],通过节拍脉冲T4的控制以便识别所要求的操作。“指令译码器:”(实验板上标有“INS DECODE”的芯片)根据指令中的操作码译码强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。

本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是数码块,它作为输出设备(OUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到数据总线上,当写信号(W/E)有效时,将数据打入输出锁存器,驱动数码块显示。

详细设计

4.1系统需求分析

一台计算机所能执行的各种指令集合称为指令系统或指令集。一台特定的计算机只能执行自己指令系统中的指令。因此,指令系统就是计算机的机器语言。

指令系统表征着计算机的基本功能和使用属性,它是计算机系统设计中的核心问题。指令系统的设计主要括指令功能、操作类型的设计,寻址方式和指令格式的设计。

计算机的性能与它所设置的指令系统有很大的关系,指令系统反映了计算机的主要属性,而指令系统的设置又与机器的硬件结构密切相关。指令是计算机执行某种操作的命令,而指令系统是一台计算机中所有机器指令的集合。通常性能较好的计算机都设置有功能齐全、通用性强、指令丰富的指令系统,而指令功能的实现需要复杂的硬件结构来支持。

4.2系统目标

在基本模型机的基础上改进并实现IN,OUT,STA,加ADD,减SUB,循环左移RLC 六条指令。

4.3功能分析

该系统实现的功能是对输入的数据可以和内存中的数据进行连续的加、减及循环左移运算,并对其结果进行存储,显示等功能,从而得到一个简易的复杂模型机所实现的功能。

4.4详细步骤

(1)按图4-1连接实验线路。

4-1实验线路图

(2)写程序

联机读/写程序

按照规定格式,将机器指令及表5-2微指令二进制表成十六进制的如下格式文件。微指令格式中的微指令代码微将表5-2中的24位微代码按从左到右分成

3个8位,将此三个8位二进制代码化为相应的十六进制数即可。

程序

$P0000

$P0110

$P0350

$P04FE

$P0520

$P06FD

$P0740

$P08A0

$PA030

$PA1FD

$PFD2C

$PFE2A

$PFF2B

$M00018001

$M0165ED82

$M0200C048

$M0300E004

$M0400A005

$M0501B206

$M06959A01

$M0700E017

$M08001001

$M0901ED83

$M0A01ED87

$M0B01ED8E

$M0C01ED96

$M0D01ED98

$M0E00E00F

$M0F009015

$M1600D001

$M1800E019

$M1900B01A

$M1A01A21B

$M1B659A01

(3)运行程序

1)单步运行程序

A、将STEP置为STEP,STOP置为RUN。

B、拨动总清开关CLR(0→1),微地址清零,微程序计数器清零。程序首地址00H。

C、在实验程序软件载入数据后,选择DEBUG,进入界面后按照提示按F2键,即单步运行一条微指令。可以观察机器的运行过程,以加深对计算机执行指令过程的了解。

D、运行结束后,可检查存数单元中的结果是否和理论值一致。

2)按指令运行

A、将STEP置为STEP,STOP置为RUN。

B、拨动总清开关CLR(0→1),微地址清零,微程序计数器清零。程序首地址00H。

C、在实验系统载入数据后,选择DEBUG。

D、在运行界面下按动F1,即系统自动按照指令阶段运行,对照微程序流程图,观察微地址显示灯是否和流程一致,观察屏幕上显示的每一步的运行结果是否与计算的出的理论值相符。

3)连续运行

A、将STEP置为STEP,STOP置为RUN。

B、拨动CLR开关,清微地址及程序记数器

C、然后在实验系统载入数据后.按下F3键,即可停机后,可检查存数单元(OAH)

结果是否正确。

1总结

经过这次课程设计,我体会到自己所学的东西太少了,很多都不知道。虽然这次设计的只是一个小程序,但是这其间我还是学到了不少东西。在这次课程设计的过程,有些很基本的知识出现记混淆的现象,通过查书及询问同学,最终明白了。

本次课程设计我们要设计一台微程序控制的模型机,以对计算机能有一个整机的概念,完成对计算机组成原理这门课程的综合应用,达到学习本书的作用。作为

一个计算机系学生这是必需掌握的,使我们对数据选择器,移位器,加法器,运算器,存储器和微程序控制器,有了比较透彻的认识。

由于计算机设计的部件较多,结构原理较复杂,对于我们这样的初设计者来说感到无从下手,所以我们在整个过程中采取由浅入深,由简单到复杂的放法,通过这次设计,使我们能清楚的了解计算机的基本组成,基本原理和设计步骤,设计思路和调试步骤,最终能清晰的建立起整机概念,为独立完成计算机设计奠定了基础。

课程设计结束了,从中我们也学到了不少知识。虽然计算机组成原理的课程设计与学习已经结束,可我们学习之路并没有结束,我们会继续努力学习其相关的知识,以适应社会的发展与需要,这样才能真正成为一名合格的大学生。

在此次的设计中,感谢老师对我们的帮助和指导。过程还不够完善,希望老师继续指导。

参考文献(资料)

[1] 《计算机组成原理》学习指导与训练 . 旷海兰等编着. 中国水利水电出版社, 2004.

[2] 计算机原理与设计. 宋焕章张春元王保恒. 长沙:国防科技大学出版社, 2000.

[3] 白中英.计算机组成原理(第二版).北京:科学出版社,1998

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.sodocs.net/doc/4a17134826.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

大数据处理平台构架设计说明书

大数据处理平台及可视化架构设计说明书 版本:1.0 变更记录

目录 1 1. 文档介绍 (3) 1.1文档目的 (3) 1.2文档范围 (3) 1.3读者对象 (3) 1.4参考文献 (3) 1.5术语与缩写解释 (3) 2系统概述 (4) 3设计约束 (5) 4设计策略 (6) 5系统总体结构 (7) 5.1大数据集成分析平台系统架构设计 (7) 5.2可视化平台系统架构设计 (11) 6其它 (14) 6.1数据库设计 (14) 6.2系统管理 (14) 6.3日志管理 (14)

1 1. 文档介绍 1.1 文档目的 设计大数据集成分析平台,主要功能是多种数据库及文件数据;访问;采集;解析,清洗,ETL,同时可以编写模型支持后台统计分析算法。 设计数据可视化平台,应用于大数据的可视化和互动操作。 为此,根据“先进实用、稳定可靠”的原则设计本大数据处理平台及可视化平台。 1.2 文档范围 大数据的处理,包括ETL、分析、可视化、使用。 1.3 读者对象 管理人员、开发人员 1.4 参考文献 1.5 术语与缩写解释

2 系统概述 大数据集成分析平台,分为9个层次,主要功能是对多种数据库及网页等数据进行访采集、解析,清洗,整合、ETL,同时编写模型支持后台统计分析算法,提供可信的数据。 设计数据可视化平台 ,分为3个层次,在大数据集成分析平台的基础上实现大实现数据的可视化和互动操作。

3 设计约束 1.系统必须遵循国家软件开发的标准。 2.系统用java开发,采用开源的中间件。 3.系统必须稳定可靠,性能高,满足每天千万次的访问。 4.保证数据的成功抽取、转换、分析,实现高可信和高可用。

永磁同步电机控制系统仿真模型的建立与实现资料

永磁同步电机控制系统仿真模型的建立与 实现

电机的控制 本文设计的电机效率特性如图 转矩(Nm) 转速(rpm) 异步电机效率特性 PMSM 电机效率特性 本文设计的电动汽车电机采用SVPWM 控制技术是一种先进的控制技术,它是以“磁链跟踪控制”为目标,能明显减少逆变器输出电流的谐波成份及电机的谐波损耗,能有效降低脉动转矩,适用于各种交流电动机调速,有替代传统SPWM 的趋势[2]。 基于上述原因,本文结合0=d i 和SVPWM 控制技术设计PMSM 双闭环PI 调速控制。其中,内环为电流环[3],外环为速度环,根据经典的PID 控制设计理论,将内环按典型Ⅰ系统,外环按典型Ⅱ系统设计PI 控制器参数[4]。 1. PMSM 控制系统总模型 首先给出PMSM 的交流伺服系统矢量控制框图。忽略粘性阻尼系数的影响, PMSM 的状态方程可表示为 ??????????-+????????????????????----=??????????J T L u L u i i P J P L R P P L R i i L q d m q d f n f n m n m n m q d ///002/30//ωψψωωω& && (1) 将0=d i 带入上式,有 ???? ??????-+??????????? ??? ??--=????? ?????J T L u L u i J P P L R P i i L q d m q f n f n m n m q d ///02/3/0ωψψωω& && (2) 转 矩 (N m )转速 (n /(m i n )) 效率 转速 (rpm) 转矩 (N m )

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

频分多址技术的建模设计及仿真

《电子信息系统仿真》课程设计届电子信息工程专业班级 题目频分多址技术的建模设计与仿真 姓名学号 指导教师职称 二О一年月日

引言频分多址是将通信的频段划分成若干等间隔的信道频率,每对通信的设备工作在某个分配(或者是指定)的信道上,即不同的通信用户是靠不同的频率划分来实现通信的,称为频分多址。早期的无线通信系统,包括现在的无线电广播、短波、大多数专用通信网都是采用频分多址技术来完成的。频分多址通信设备的主要技术要求是:频率准确、稳定,信号占用的频带宽度在信道范围以内。 频分多址技术FDMA是数据通信中的一种技术,即不同的用户分配在时隙相同而频率不同的信道上。按照这种技术,把在频分多路传输系统中集中控制的频段根据要求分配给用户。同固定分配系统相比,频分多址使通道容量可根据要求动态地进行交换。 在FDMA系统中,分配给用户一个信道,即一对频谱,一个频谱用作前向信道即基站向移动台方向的信道,另一个则用作反向信道即移动台向基站方向的信道。这种通信系统的基站必须同时发射和接收多个不同频率的信号,任意两个移动用户之间进行通信都必须经过基站的中转,因而必须同时占用2个信道(2对频谱)才能实现双工通信。关键字:通信系统频分多址滤波器解调 一《频分多址系统建模与仿真》课程设计的目的通过对频分多址系统的建模与仿真,实现了3路信号的频分复用并得到了仿真结果。综合运用本课程的理论知识进行频谱分析以及滤波器设计,通过理论推导出相应的结果,并用MATLAB作为编程工具进行计算机实现,从而复习巩固课堂所学的论知识,提高了对所学知

识的综合应用能力,并从实践上实现了对数字信号的处理。 二课程设计内容及要求 2.1设计内容: 在Matlab 环境中,利用编程方法对FDMA通信模型进行仿真研究。 2.2 设计要求 用麦克风进行声音的录制,录制3路不同人的语音信号,并对录制的信号进行采样;画出采样后语音信号的时域波形和频谱图接着画出复用信号的频谱图。设计合适数字滤波器,并画出带通滤波器的频率响应。再进行解调,画出解调后3路信号各自的频谱图。最后通过选择合适的低通滤波器恢复出各原始语音信号,从而实现FDMA通信传输。画出低通滤波器的频率响应,恢复信号的时域波形和频谱,并对滤波前后的信号进行对比,分析信号的变化。回放语音信号。2.3 模型分析

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

模型设计说明

模型设计说明书——索膜结构展 览会场 模型名称:索膜结构展览会场 指导老师:刘 组长:张永贞 组员:陈焌寅郭二强郭俊义 陈胜杨兴虎姬瑞浩 黄乔席守东米洋 10级土木工程1班

索膜结构是用高强度柔性薄膜材料经受其它材料的拉压作用而形成的稳定曲面,能承受一定外荷载的空间结构形式。其造型自由、轻巧、柔美,充满力量感,阻燃、制作简易、安装快捷、节能、易于、使用安全等优点,索膜结构时尚、优美和现代,往往能得到意想不到的建筑景观效果。索膜结构的出现为建筑师们提供了超出传统建筑模式以外的新选择,因而使它在世界各地受到广泛应用。 近年来,随着建筑空间观念的日益深化以及科学手段的不断提高,“回归自然”、“沐浴自然之温馨”已是现代建筑环境学发展的主流。室内外的视线越来越模糊,出现了许多亦内亦外、相互渗透的不定空间,如:大厅装饰、天井、四季厅、动植物园、公园广场、观景台、舞台、体育场馆、体育看台、文化娱乐场所等。由于膜材的光透性,白天阳光可以透过膜材形成慢射光,使膜覆盖空间内达到和室外几乎一样的自然效果,因此索膜结构能创造出与自然环境相媲美的空间形式。 一个城市的中心区反映一个城市的地理风貌和民族风情,同时,

也是一个城市文化发展程度的标志。而景观设计要求其具有广泛的可读性、雅俗共赏,既有超凡脱俗的艺术价值,又能使大众喜闻乐见与大众息息相通。索膜结构以其轻盈飘逸的造型、柔美并带有力量的曲线和大跨度和大空间的鲜明个性和标识性,应用于城市规划的设计中。 索膜结构轻巧、别致的造型在大跨度结构的建设中担当了重要角色,除了满足防风雨、防日晒等基本功能外,并有较好的标识招揽效果,展现了人们个性化的一面。 索膜结构展览会场的特点 1. 结构轻巧性:膜结构自重轻,耗量极低,对地震作用有良好适应性。 2. 造型多样性:柔性材料、自由空间曲面、不重复、多变化。 3. 耐用性:由于高强度的膜材出现,再加上张拉索的应用,使索膜结构展览会场抵御风雨的能力是其他结构不可比拟的。有的展览会场采用永久性膜材。特别是遇到剧烈的暴风雨天气,索膜结构建筑巍然不动,毫发不损。 4.艺术性:除了其他结构不可比拟的实用、耐用、遮风挡雨的功能外,索膜结构更是一座雕塑,一件艺术品,给人美的视觉享受。其柔美,其曲线,其刚柔并济,其丰富造型,其洁白无瑕,让人眼前一亮,回味悠长。 5. 经济性:索膜在工厂完成,现场作业少,可缩短工期70-80%节约施工经费。

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

结构模型设计文件

第四届湖南省结构设计竞赛名称: 学校: 参赛队员: 竞赛时间: 目录 一、设计说明书 1、对方案的构思 2、对制作模型材料的分析 3、主要制作过程 二、方案图(详见后面照片) 1、结构整体布置图 2、主要构件详图 三、计算书 1、荷载分析 2、内力分析 3、承载能力估算

一、设计说明书 1、对方案的构思及框架设计 多层竹质房屋结构模型设计思路:考虑到本次的作品赛题是房屋类的构造,考虑到在现实生活中有很多类似的框架结构。而且这次竞赛的材料都是竹条,要把这些竹条搭建成房屋结构,我组觉得采用镶嵌式框架结构是最稳妥的方法。只是竹条的厚度不是很高,制作的难度会比较大。 2、对制作模型材料的分析 由于本次竞赛使用的材料是竹制的,且主要是竹条。竹条的韧性很好,抗弯曲能力比较强。但由于我们选择的是镶嵌结构,因此需要破坏竹条的内部结构,这样对竹条的韧性会有很大影响。但只要细心、谨慎操作,可把这种影响降到最低。 3、主要制作过程 首先将5mm厚15mm高600mm长的竹条作为梁结构,并在竹条上开槽。将2mm 厚的竹条置于槽内,制成楼板。柱子采用3层5mm厚的竹条粘贴而成,在一定的高度上开孔,便于梁及楼板的放置和固定。底板采用镶嵌式,使柱子与板间的结构更稳定。 二、方案图 1、结构整体布置图

作品实图 2、主要构件详图

三、计算书 1、荷载分析 材料参数:竹材的弹性模量E=1.0﹡104MPa.抗拉强度ft=60MPa。由于结构为框架结构,砝码尺寸不可忽略,假定梁上局部承受均匀分布载荷作用。题目要求每层加载20kg砝码,总共三层,共计60kg。四根柱子平均每根受力15*10=150N。每层楼板由两根长60cm的梁承受荷载。即每根梁上承重600/(3*2)=100N。 q=100/0.15=667N/m。 2、内力分析 沿梁的长度方向为x轴,可得梁的剪应力方程 :FQ=667*x*(0.15- x/2) (0150 故,柱子的抗压性能满足需求。 梁的剪力分布图

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

结构模型设计方案示例

湖南省“路桥杯”大学生结构模型创作竞赛 中南大学 参赛设计方案说明书 作品名称剑桥 学校名称中南大学 学生姓名专业班级 学生姓名专业班级 学生姓名专业班级 指导教师 联系电话 二○○六年七月十四日

目录 摘要 (2) 1 设计说明书 (3) 1.1 概述 (3) 1.2 方案简介 (3) 1.3 结构模型及方案特点 (4) 1.4 应用前景 (5) 1.5 施工流程: (5) 1.6 施工要点: (5) 2 结构方案图 (6) 2.1结构效果图 (6) 2.2结构俯视图 (6) 3 设计计算书 (7) 3.1结构计算模型 (7) 3.2结构强度计算 (8) 3.2.1 拱肋强度计算 (8) 3.2.2 拉杆强度计算 (9) 3.3 结构稳定分析 (9) 参考文献 (10)

摘要 本文根据湖南省“路桥杯”土木建筑类大学生结构模型创作竞赛规程和使用材料的特点要求,结合现代桥梁结构的特点,借鉴细杆拱桥结构设计概念构思了本结构模型。 在造型上,空间上主要采用三角形、梯形等几何元素,注重结构的整体性。 在结构设计方面,充分根据木材的力学性能,主要受力构件采用格构式组合构件,利用斜向支撑增加结构空间作用,提高抗侧能力。并通过采用ANSYS有限元软件的空间分析,根据构件的受力情况沿杆件变化,采用了变截面的杆件,充分的利用材料,经过ANSYS 的计算表明,结构在设计荷载作用下,均能满足强度、刚度、稳定性要求。 关键词:结构模型、设计大赛、模型制作

1 设计说明书 1.1 概述 对于结构模型,稳定性起着控制作用,包括整体稳定性和局部稳定性,选择合理有效的结构受力体系对结构模型设计有着重要意义。 模型设计中,主要应考虑充分利用木材薄片受力性能特点。就本次竞赛而言,关键在于充分利用木材薄片受拉性能好,受压则需要组合成柱的特点,选择优化的结构模型,使结构模型能够接近竞赛规定的最大加载荷载,同时尽可能降低结构的自身重量。 本结构模型根据以上思想,进行结构的构思与设计。 1.2 方案简介 本结构整体外型为一个上承式桁架。其造型融入三角形和梯形等美学元素,整体造型简单、受力形式较好,符合本次竞赛的设计理念。 结构根据竞赛规程的要求,确定合理跨度和高度以后,以四根斜杆为主要受力构件向下传力,顶部做成一个加载平台。根据各个面内的抗弯刚度要求,灵活选用杆的形式,通过计算得出合理拱轴线的位置,合理布置杆拱的空间角度;再合理布置支撑杆件,用于抵抗荷载传来的水平力分力并减小侧移;并通过ANSYS软件模拟多种荷载情况下的破坏情况,找出结构构件的薄弱环节进行局部加强,使得结构的破坏向强度破坏靠近,从而使本结构模型具有足够的承

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

基本模型机设计与实现 实验报告

____学院____专业_____班______组、学号______ 姓名_____协作者_____ 教师评定_____________ 实验题目_基本模型机设计与实现______________________ 1.实验目的与要求: 实验目的: 1.在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存储器模块组合成一起,组成一台基本模型计算机。 2.用微程序控制器来控制模型机的数据通道。 3.通过CPU运行五条机器指令组成的简单程序,掌握机器指令与微指令的关系,建立利用指令控制整机(输入、输出、运算、存储系统)的概念。 实验要求: 记录程序运行过程的数据和结果,按记录填写答题框,完成练习操作题,观察程序的执行过程并记录运行结果。 2.实验方案: 1.实验连线。 2.试验程序。 3.修改和编写试验仪RAM和ROM数据的方法。 4.结果测试。 3.实验结果和数据处理: 练习操作题记录表:(注意:题目不用写入实验报告)

4.结论 1.每条指令执行要分三步,第一步是取指令,由01、02微指令实现;第二步是判别指令,判别取的是什么指令,转到相应的分支去,由P (1)信号参与控制;第三步是具体执行指令,具体执行相应分支的每一条微指令。 2.每次运行前,都要拨动CLR开关清零(1→0→1)。清零后,微地址显示灯应为000000。 3.窗口显示的EX1的源文件,包括机器指令程序和微指令程序的微代码,$P开头是机器指令程序,$M开头是微指令程序的微代码. 5.问题与讨论及实验总结 如何修改试验仪的RAM和ROM的数据? 答:先选中要修改的该层,把该地址单元的内容全部输入,等光标自动移到下一个地址单元时才完成对该地址单元内容的修改。接着使用该菜单栏中的“调试”菜单下的“刷新数据”或F5热键来对“程序RAM”“微指令ROM”进行刷新,把电脑上的数据传到实验仪中。 6.思考题:

建筑大学第五届结构塔吊模型设计大赛设计说明书

沈阳建筑大学土木工程学院第五届结构 模型设计大赛 方案设计 作品名称 所属院系土 专业班级土木 学生姓名学号 学生姓名学号 学生姓名学号 联系电话150 二○一○年五月十三日

摘要 根据竞赛规则要求,我们从模型的用材特性、加载形式和施工方便程度等方面出发,采用150g规格的牛皮纸,蜡线及白乳胶这三种材料制作成柱——桁架结构体系。绘制了模型的结构图、结构整体布置图、节点详图。从结构的整体着眼,设计中充分利用了桁架结构三角形的稳定性;考虑到比赛中模型的加载形式,即两侧分别加载(A)29.4N和不大于(B)58.8N的竖向静荷载和(B)点前后面19.6N的水平静止荷载。我们在计算过程中假定材质连续均匀、杆与杆的连接采用铰结、模型本身质量不计,进行受力分析,得出整个结构的内力及变形图,并对结构杆件进行强度及稳定性计算校核。同时,对模型进行了大量的加载实验。通过计算和加载实验,确认该模型能满足强度、刚度及稳定性的要求。 一、设计说明书 1、方案构思 结构形态具有二重性,首先结构形态具有合理的受力特性即理性逻辑,其次在于结构形态具有明确的几何特征即形态逻辑。如果能够做到这两方面的完美结合,不仅能使机械结构表现出优美的形态,而且还能达到最为经济的效果。某著名建筑师曾说过:只有一个造型是最好的,那就是能清楚表现出经济原理的造型。所以我们这次结构的选择均是从塔吊结构的受力特点出发去寻找最合理的形式。 1.1结构选型 在结构设计中应该合理布置结构构件,使得截面获得相对最大的截面惯性矩,发挥材料的最大受力性能。主要构件受力直接,荷载传递路径明确。我们讨论决定利用空间柱——桁架结构体系,充分考虑牛皮纸的抗拉压性能强、抗弯剪性能弱的特性,结构利用四根主杆三根角形杆作为主要结构构件,支撑竖向重力荷载和侧向水平荷载。在各侧立面上用似螺旋向上的斜撑相连,角形杆用M形斜杆支撑。这样使得七根主杆外的所有杠件主要以桁架杠形式出现。在无任何多余装饰元素下,外露桁架杆的交错布置,体现现代建筑简洁、明快的风格,蕴涵一

基本模型机的设计与实现

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:基本模型机的设计与实现 年级专业班级:14 级计算机专业专本1班班级 学号:14131521 姓名:殷宇翔 学号:姓名: 学号:姓名: 时间:2016 年12 月10 日

一、实验目的、要求: 1、在掌握部件单元电路实验的基础上,进一步将其组成系统以构造一台基本模型实验计算机。 2、设计五条机器指令,并编写相应的微程序,具体上机调试,掌握整机软硬件组成概念。 二、实验仪器设备、器件及环境: 三、实验方法、原理: 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,实验计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 ⑴有关微控制器部分在前一实验中已详细介绍 ⑵主存储器的读、写和运行 为了向主存储器RAM中装入程序或数据,并且检查写入是否正确以及能运行主存储器中的程序,必须设计三个控制操作微程序。 ·存储器读操作:拨动总清开关后,置控制开关SWC、SWA为“0 0”时,按要求连线后,连续按“启动运行”开关,可对主存储器RAM连续手动读操作。 ·存储器写操作:拨动总清开关后,置控制开关SWC、SWA为“0 1”时,按要求连线后,再按“启动运行”开关,可对主存储器RAM进行连续手动写入。 ·运行程序:拨动总清开关后,置控制开关SWC、SWA为“1 1”时,按要求连线后,再按“启动运行”开关,即可转入到第01号“取址”微指令,启动程序

相关主题