搜档网
当前位置:搜档网 › 四路智力竞赛抢答器设计

四路智力竞赛抢答器设计

重庆信息技术职业学院

毕业设计

题目四路智力竞赛抢答器设计选题性质: 设计□报告□其他

院系电子工程学院

专业电子信息工程技术

班级 10 级(2)班

学号 1025090234

学生姓名王楷

指导教师王芳莉

教务处制

2012年 9 月 1 日

2013 届电子工程学院

毕业设计选题审批单

年级 10 专业电子班级 2班

学生姓名王楷学号1025090234 选题四路智力抢答器设计选题性质□设计□报告□其他选题论证:

指导教师初审意见:

签名:

年月日

毕业设计工作领导小组审批意见:

签名:

年月日

2013 届电子工程学院

毕业设计开题报告及进度要求

年级班级

学生姓名学号

指导教师选题性质□设计□报告□其他选题

选题的目的和意义:

选题研究的主要内容和技术方案:

毕业设计工作时间2012年 9月 1日至 2012年 10月 20 日

毕业设计工作日程安排

时间段工作内容

9月1日-9月8日选题、开题、制定任务、开题

10月20日完成毕业设计

指导教师意见:

成果要求:

签字:

年月日

目录

目录..............................I 摘要.............................. II 绪论 (1)

第1章方案与论证 (2)

1.1 设计要求 (2)

1.2 方案论证 (2)

第2章单元电路设计 (4)

2.1抢答器按键保持与封锁电路 (4)

2.2 选手号码显示电路 (6)

2.2.1 74LS148优先编码器 (6)

2.2.2 74LS248七段译码器 (8)

2.3 脉冲发生器电路 (10)

2.3.1 555定时器 (10)

2.4 8421BCD码递减计数器电路 (12)

2.4.1 十进制可逆计数器74LS192 (12)

2.5 抢答及限时鸣响电路 (14)

2.5.1 74LS04非门 (14)

2.5.2 74LS02与非门 (15)

总结 (17)

参考文献 (18)

附录Ⅰ总电路图 (19)

附录Ⅱ元器件清单 (20)

摘要

本文设计可供四人抢答的抢答器电路并对其进行仿真。首先本文提出了一种控制以及计时电路的方案,并对其进行了论证。设计方案先利用D触发器及优先编码器74LS148N组成的抢答电路实施抢答电路的运行,然后利用555集成电路构成秒脉冲发生器;然后用其产生的矩形波触发倒计时计数器;运用输出的进位电压控制计时器的停止,并发生警报。然后用Multisim9对电路进行仿真和整体的性能指标测试。经过测验,得到了比较符合要求的仿真结果。

关键字:D触发器、优先编码器74LS148、七段显示译码器74LS48、555集成电路

绪论

关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。

在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

第1章方案与论证

1.1设计要求

1.设置一个系统清除和抢答控制开关S,该开关由主持人控制;

2.抢答器具有锁存与显示功能;

3.抢答器具有定时抢答功能,定时时间为60秒,当主持人启动"开始"键后,定时器进行减计时;

4.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

1.2方案论证

方案一:

用CD4511 、CD4068各一个电阻,开关,三级管和二级管若干及七段显示器构成抢答电路。本电路的控制方法是利用开关进行输入编码当按键第一次就接下时,输出由1111110变为所接下的键值的BCD编码经4068 8输入与门和一个三级管控制后输出CD4511第五脚使其从底电平变为高电平,从而锁住CD4511,实现抢答功能。计数器利用两个CD40110和CD4011组合成60秒的加法计数器。此电路原理简单,制作方便,但显示不为倒计时,观看比较不方便。

方案二:

抢答电路由四个D触发器74LS74N,或非门4002BT,开关若干,优先编码器74LS148及七段显示器等组成。本电路的控制方法是利用开关进行高低电位的输入,当四个开关有一个有优先按下时,D触发器的输出端输出的高电位通过或非门进入其他D触发器的异步复位端从而使其他选手的输入信号锁存成无效。倒计时电路由74LS192, 七段显示器,及555定时电路组成。此电路的设计虽然较复杂,但是能很好实现所要求的功能。

通过比较二个方案的特点,本电路采用方案二!

智力竞赛抢答器的设计方框图如图1.1所示。包括抢答器电路,秒脉冲发生器电路、计数器电路、译码与显示电路、报警电路和外部控制电路(辅助时序控制电路)等六个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中抢答器,计数器和控制电路是系统的主要部分。抢答器电路完成抢答功能,计数器完成60秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时警报灯点亮。

图1.2.1智力竞赛抢答器电路原理框图

设计思路:利用D 触发器上的置位或复位实现抢答电路的信号的优先输入,通过优先编码器和显示译码器把优先抢答的选手号码显示出来;由定时器发出的秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。

抢答电路 显示电路 倒计时电路 CP 报警电路

总控制电路

显示电路

发光二极管

第2章 单元电路设计

2.1抢答器按键保持与封锁电路 2.1.1 74LS74D 触发器

74ls74双上升沿D 触发器(有预置、清除端),1CP 、2CP 时钟输入端,1D 、2D 数据输入端,1Q 、2Q 、1Q _ 、2Q _

输出端,CLR1、CLR2 直接复位端(低电平有效) ,PR1、PR2 直接置位端(低电平有效)。

负跳沿触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D 触发器也称为维持-阻塞边沿D 触发器。

工作原理:

SD 和RD 接至基本RS 触发器的输入端,它们分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D 为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD 和RD 通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下:

(1)CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D ,Q5=D ,Q6=Q5=D 。

(2)当CP 由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D ,Q4=Q6=D 。由基本RS 触发器的逻辑功能可知,Q=D 。

(3)触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D 通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D 端通往基本RS 触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。总之,该触发器是在CP 正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强

的抗干扰能力和更高的工作速度。

图2.1.1 74LS74逻辑图

表2.1.1 74LS74功能表:

输入输出PR CLR CLK D Q

0 1 ×× 1 0

1 0 ××0 1

0 0 ××H* H*

1 1 ↑ 1 1 0

1 1 ↑0 0 1

1 1 0 ×Q0

图2.1.2按键保持与封锁电路

该电路可以完成两个功能:一是能够分辨出选手按键的先后顺序,并且能够锁存优先抢答选手的号码,同时译码显示电路显示编号;二是后面的选手按键操作将无效。

工作过程:开关J6开启时,则输入为高电位“1”,经过四个或非门后变成低电位“0”。则四个D触发器的异步复位端将触发器置“0”,抢答电路处于系统清零状态;当J6闭合时,抢答电路处于工作状态。当抢答开始,若J1先按键,则Q1端输出高电位“1”通过或非门变成低电位“0”,将其他D触发器置0,则抢答信号输出为“1110”(J4J3J2J1),然后通过输出选手号码显示电路显示对应号码。

2.2 选手号码显示电路

2.2.1 74LS148优先编码器

74LS148 为 8 线-3 线优先编码器,共有54/74148和54/74LS148两种线路结构型式,将8条数据线(0-7)进行3线(4-2-1)二进制(八进制)优先编码,即对最高位数据线进行译码。利用选通端(EI)和输出选通端(EO)可进行八进制扩展。

管脚0-7 编码输入端(低电平有效),EI 选通输入端(低电平有效),A0、A1、A2 三位二进制编码输出信号即编码输出端(低电平有效),GS 片优先编码输出端即宽展端(低电平有效),EO 选通输出端,即使能输出端。

图2.2.1 74LS148逻辑图

在实际工作中,同时有多个输入被编码时,必须根据轻重缓急,规定好这些控制对象允许操作的先后次序,即优先识别。识别信号的优先级并进行编码的逻辑部件称为优先编码器。

编码器74LS148的作用是将输入I

0~I

7

8个状态分别编成二进制码输出,它的

功能表见表6-2,它的逻辑图见图6-2。它有8个输入端,3个二进制码输出端,

输入使能端EI,输出使能端EO和优先编码工作状态标志GS。优先级分别从I

7

I

递减。

表2.2.1 74LS148功能表:

输入输出

E1 0 1 2 3 4 5 6 7 A2 A1 A0 GS E0 1 ×××××××× 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 ×××××××0 0 0 0 0 1 0 ××××××0 1 0 0 1 0 1 0 ×××××0 1 1 0 1 0 0 1 0 ××××0 1 1 1 0 1 1 0 1 0 ×××0 1 1 1 1 1 0 0 0 1 0 ××0 1 1 1 1 1 1 0 1 0 1 0 ×0 1 1 1 1 1 1 1 1 0 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 1 (其中:1为高电平,0为低电平,×不定)

2.2.2 74LS248七段译码器

74LS248 是由与非门、输入缓冲器和 7 个与或非门组成的 BCD-7 段译码器/驱动器。输出是高电平有效。7 个与非门和一个驱动器成对连接,以产生可用的BCD 数据及其补码至 7 个与或非译码门。

74ls248管脚及功能:

(1) A、B、C、D是BCD码的输入端。

(2) a,b,c,d,e,f,g是输出端。

(3) 试灯输入端/LT:低电平有效。当/LT=0时,数码管的七段应全亮,与输入的译码信号无关。因此,/LT=0可用来检查74LS248和显示器的好坏。

(4) 动态灭零输入端/RBI:在LT=1的前提下,当/RBI=0且输入DCBA=0000时,译码器各段输出均为低电平,显示器各段全灭,而当输入数据为非零数码时,译码器和显示器正常译码和显示。利用此功能可以实现对无意义位的零进行消隐。

(5) 灭灯输入/动态灭零输出端/RBO:这是一个特殊的端钮,有时用作输入,有时用作输出。当/RBO作为输入使用,且/RBO=0时,数码管七段全灭,与译码输入无关。当/RBO作为输出使用时,受控于/LT和/RBI;当/LT=1且/RBO=0时,/RBO=0;其它情况下/RBO=1。本端钮主要用于显示多位数字时,多个译码器之间的连接。本设计将/RBI

、/LT、/RBO都置高电平。

图2.2.2 74LS248引脚图

表2.2.2 74LS248功能表

十进数或功能

输入BI/RBO 输出

LT RBI D C B A a b c d e f g

0 1 2 3H H L L L L

H ×L L L H

H ×L L H L

H ×L L H H

H

H

H

H

H H H H H H L

L H H L L L L

H H L H H L H

H H H H L L H

4

5 6 7 H × L H L L H × L H L H H × L H H L H × L H H H H H H H L H H L L H H H L H H L H H L L H H H H H H H H L L L L 8 9 10 11 H × H L L L H × H L L H H × H L H L H × H L H H H H H H H H H H H H H H H H L L H H L L L H H L H L L H H L L H 12 13 14 15 H × H H L L H × H H L H H × H H H L H × H H H H H H H H L H L L L H H H L L H L H H L L L H H H H L L L L L L L BI RBI LT

× × × × × ×

H L L L L L

L × × × × ×

L L H

L L L L L L L L L L L L L L H H H H H H H

图2.2.3选手号码显示电路

此部分电路主要实现抢答选手编号的显示。例如:当第三位选手率先按下抢答器时,LED 显示“3”。电路图上显示的是“1”,说明第一位选手先按下抢答器。

该电路由优先编码器74LS148N 和七段译码器/驱动74ls248N 组成。当选手按下抢答器按钮时,抢答信号输入端输入低电平信号,在74LS148N 作用下,输出端A1、A2、A3相应的输出高低电平,产生对74LS248N 的控制信号,并由此来控制

LED的显示信号。注意,选手控制信号从74LS148N的D3、D4、D5、D6端输入,并且D3对应选手4号,D4对应选手3号,D5对应选手2号,D6对应选手1号。LED 为共阴极七段显示器

2.3 脉冲发生器电路

2.3.1 555定时器

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在4.5V~16V工作,7555 可在3~18V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。

用555集成电路组成多谐振荡电路为系统提供时钟秒脉冲。555定时器应用为多谐振荡电路时,当电源接通Vcc通过电阻R1、R2向电容C充电,其上电压按指数规律上升,当u上升至2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出电压变为高电平,C放电终止,Vcc通过R1、R2又开始充电;周而复始,形成振荡。则其振荡周期与充放电时间有关,也就是与外接元件有关,不受电源电压变化影响。

公式计算:

T1=(R1+R2)Cln2;

T2=R2Cln2;

振荡周期T = T1+T2=0.7 ( R1 + 2R2) C =1 (s)

图2.3.1 555结构图

555的各个引脚功能如下:

1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。

8脚:VCC(或VDD)外接电源VCC,双极型时基电路VCC的范围是4.5~16V,

CMOS型时基电路VCC的范围为3~18V。一般用5V。

3脚:OUT(或Vo)输出端。

2脚:TR低触发端。

6脚:TH高触发端。

4脚:R是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:CO(或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

7脚:D放电端。该端与放电管集电极相连,用做定时器时电容的放电。电阻分压器由三个5kΩ的等值电阻串联而成。电阻分压器为比较器C1、C2提供参考电压,比较器C1的参考电压为2/3Vcc,加在同相输入端,比较器C2的参考电压为1/3Vcc,加在反相输入端。比较器由两个结构相同的集成运放C1、C2组成。高电平触发信号加在C1的反相输入端,与同相输入端的参考电压比较后,其结果作为基本RS触发器R端的输入信号;低电平触发信号加在C2的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS触发器S端的输入信号。基本RS 触发器的输出状态受比较器C1、C2的输出端控制。

555电路的内部电路方框图如上图2.3.1所示。它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为2/3Vcc和1/3Vcc。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过2/3Vcc时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于1/3Vcc时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

图2.3.2脉冲发射器电路

此部分电路主要是为计时电路提供所需脉冲,一般情况下,脉冲周期为1秒,选手按下强大按钮时,也就启动了次部分电路的工作。555定时电路在此处构成振荡器,周期:T=C3(R6+R5)ln2,近似等于1秒。这也就确定了计数器以1秒1次的频率计数。

2.4 8421BCD码递减计数器电路

2.4.1 十进制可逆计数器74LS192

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,具体功能如下:

(1)异步清零。74LS192的输入端异步清零信号CR 高电平有效。仅当CR=1时 计数器输出清零 与其他控制状态无关。

(2)异步置数控制。LD非为异步置数控制端 低电平有效。当CR=0,LD非=0时 D1D2D3D4被置数,不受CP控制。

(3)加法计数器 当CR和LD非均无有效输入时 即当CR=0、LD非=1,而减数计数器输入端CPd为高电平 计数脉冲从加法计数端CPu输入时 进行加法计数 当CPd和CPu条件互换时 则进行减法计数。

(4)保持。当CR=0、LD非=1(无有效输入),且当CRd=CPu=1时 计数器处于保持状

(5进行加计数 并在Q3、Q0均为1、CPu=0时 即在计数状态为1001时 给出一进位信号。进行减计数 当Q3Q2Q1Q0=0000 且CPd=0时 BO非给出一错位信号。

其引脚排列及逻辑符号如图2.4.1所示:

图2.4.1 74LS192引脚排列及逻辑符号

图中:PL为置数端,CPu为加计数端,CPd为减计数端,TCu为非同步进位输出端,TCd为非同步借位输出端,P0、P1、P2、P3为计数器输入端,MR为清除端,Q0、Q1、Q2、Q3为数据输出端。

表 2.4.2 74LS192功能表:

输入输出

MR P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 ×××××××0 0 0 0 0 0 ×× d c b a d c b a 0 1 1 ××××加计数

0 1 1 ××××减计数

图2.4.3 8421BCD码递减计数器电路

当选手按下抢答器按钮时,电路开始工作。电路启动后,由秒脉冲发生器电路为其提供所需脉冲,两个74LS248均相当于计时器,来一个脉冲就计数一次,相应LED显示也会跳变,周期为1秒。另外,LED1代表的信号显示灯也会发光。此处,设计要求是答题时间为60秒,但此处忽略抢答时的一秒,故从59秒开始计时。

2.5 抢答及限时鸣响电路

2.5.1 74LS04非门

输入高电平1(5v),输出低电平0(0v)。共有14个接口,7号接地,14号接工作电压14v。另外的1-2,3-4,5-6,8-9,10-11,12-13分别是六个反相器。

74LS04有六个独立的非门,A为输入端,Y为输出端,且输出的是A的非。

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

4人智力竞赛抢答器设计

4人智力竞赛抢答器设计 (2009-12-13 15:42:40) 转载 标签: 分类:数字电路 智力竞赛 锁存器 倒计时 选手 计数器 it 课题4人智力竞赛抢答器 一、设计内容及要求 设计一台可供4名选手参加比赛的智力竞赛抢答器,具体要求如下: 1、4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 2、给主持人设置一个控制按钮,用来控制系统清零(编号显示、数码管熄灭)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在编号显示器上显示该编号,扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,此时扬声器发出0.5秒音响,同时定时器停止倒计时,显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

5、如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、系统原理框图 三、工作原理 电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上,同时产生相应的音响效果。 主持人按开始键时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。 四、单元电路设计参数计算及元器件选择 1. 编码电路 编码器的作用是把锁存器的输出转化成8421BCD码,送给7段显示译码器。其真值表为:

8路智力竞赛抢答器

机械与电气工程学院《数字电子技术》课程设计报告 姓名: 学号: 班级:10电自2班 指导教师:严金龙

8路智力竞赛抢答器 1 设计任务与要求 1.1基本功能 (1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,其编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 (2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示选手的编号,同时扬声器发出音响提示此外.要封锁输人电路,禁止其他选手抢答,并将优先抢答选手的编号—直保持到主持人将系统清零为止。1.2 扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间长度可以由主持人设定(如30 s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的音响,音响持续时间为0.5 s左右。 (2)参赛选手在设定的时间内抢答,抢答有效;有效抢答结束定时器停止工作.显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 (3)如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后做无效抢答,时间显示器上显示00。 2 设计原理 定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答;扩展电路完成定时抢答的功能。

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

相关主题