搜档网
当前位置:搜档网 › windows加法计算器

windows加法计算器

windows加法计算器
windows加法计算器

实验报告

1 任务概述(任务说明)

设计一个计算器

2设计思路(包括技术基础等)

先画出文本框EDIT,在画出按键BUTTON,接受数据后再转换成数据类型int,最后将运算后的结果又粘贴到文本框中。

3程序结构描述

4源码,文档化

加法计算器.h

#pragma once

#include "resource.h"

Resource.h

//{{NO_DEPENDENCIES}}

// Microsoft Visual C++ generated include file.

// Used by 加法计算器.rc

//

#define IDS_APP_TITLE 103

#define IDC_EDIT1 1001

#define IDC_EDIT2 1002

#define IDC_EDIT3 1003

#define IDC_BUTTON1 1004

#define IDC_BUTTON2 1005

#define IDC_BUTTON3 1006

#define IDC_BUTTON4 1007

#define IDR_MAINFRAME 128

#define IDD_MY_DIALOG 102

#define IDD_ABOUTBOX 103

#define IDM_ABOUT 104

#define IDM_EXIT 105

#define IDI_MY 107

#define IDI_SMALL 108

#define IDC_MY 109

#define IDC_MYICON 2

#ifndef IDC_STATIC

#define IDC_STATIC -1

#endif

// 新对象的下一组默认值

//

#ifdef APSTUDIO_INVOKED

#ifndef APSTUDIO_READONL Y_SYMBOLS

#define _APS_NO_MFC 130

#define _APS_NEXT_RESOURCE_V ALUE 129

#define _APS_NEXT_COMMAND_V ALUE 32771 #define _APS_NEXT_CONTROL_V ALUE 1000

#define _APS_NEXT_SYMED_V ALUE 110

#endif

#endif

#define IDI_CALWINAPI 107

#define IDI_SMALL 108

#define IDC_CALWINAPI 109

#define IDC_MYICON 2

#ifndef IDC_STATIC

#define IDC_STATIC -1

#define IDC_EDIT1 1001

#define IDC_EDIT2 1002

#define IDC_EDIT3 1003

#define IDC_BUTTON1 1004

#define IDC_BUTTON2 1005

#define IDC_BUTTON3 1006

#define IDC_BUTTON4 1007

#endif

加法计算器.cpp

// 加法计算器.cpp : 定义应用程序的入口点。

//

#include "stdafx.h"

#include

#include "Resource.h"

#define MAX_LOADSTRING 100

// 全局变量:

HINSTANCE hInst; // 当前实例

TCHAR szTitle[MAX_LOADSTRING]; // 标题栏文本TCHAR szWindowClass[MAX_LOADSTRING]; // 主窗口类名

// 此代码模块中包含的函数的前向声明:

ATOM MyRegisterClass(HINSTANCE hInstance);

BOOL InitInstance(HINSTANCE, int);

LRESULT CALLBACK W ndProc(HWND, UINT, WPARAM, LPARAM);

INT_PTR CALLBACK About(HWND, UINT, WPARAM, LPARAM);

int APIENTRY _tWinMain(HINSTANCE hInstance,

HINSTANCE hPrevInstance,

LPTSTR lpCmdLine,

int nCmdShow)

{

UNREFERENCED_PARAMETER(hPrevInstance);

UNREFERENCED_PARAMETER(lpCmdLine);

// TODO: 在此放置代码。

MSG msg;

HACCEL hAccelTable;

// 初始化全局字符串

LoadString(hInstance, IDS_APP_TITLE, szTitle, MAX_LOADSTRING);

LoadString(hInstance, IDC_MY, szWindowClass, MAX_LOADSTRING);

MyRegisterClass(hInstance);

// 执行应用程序初始化:

if (!InitInstance (hInstance, nCmdShow))

{

return FALSE;

}

hAccelTable = LoadAccelerators(hInstance, MAKEINTRESOURCE(IDC_MY));

// 主消息循环:

while (GetMessage(&msg, NULL, 0, 0))

{

if (!TranslateAccelerator(msg.hwnd, hAccelTable, &msg))

{

TranslateMessage(&msg);

DispatchMessage(&msg);

}

}

return (int) msg.wParam;

}

ATOM MyRegisterClass(HINSTANCE hInstance)

{

WNDCLASSEX wcex;

wcex.cbSize = sizeof(WNDCLASSEX);

wcex.style = CS_HREDRAW | CS_VREDRAW;

wcex.lpfnWndProc = WndProc;

wcex.cbClsExtra = 0;

wcex.cbWndExtra = 0;

wcex.hInstance = hInstance;

wcex.hIcon = LoadIcon(hInstance, MAKEINTRESOURCE(IDI_MY));

wcex.hCursor = LoadCursor(NULL, IDC_ARROW);

wcex.hbrBackground = (HBRUSH)(COLOR_WINDOW+1);

wcex.lpszMenuName = MAKEINTRESOURCE(IDC_MY);

wcex.lpszClassName = szWindowClass;

wcex.hIconSm = LoadIcon(wcex.hInstance, MAKEINTRESOURCE(IDI_SMALL));

return RegisterClassEx(&wcex);

}

BOOL InitInstance(HINSTANCE hInstance, int nCmdShow)

{

HWND hWnd;

hInst = hInstance; // 将实例句柄存储在全局变量中

hWnd = CreateWindow(szWindowClass, szTitle, WS_OVERLAPPEDWINDOW, CW_USEDEFAULT, 0, CW_USEDEFAULT, 0, NULL, NULL, hInstance, NULL);

if (!hWnd)

{

return FALSE;

}

ShowWindow(hWnd, nCmdShow);

UpdateWindow(hWnd);

return TRUE;

}

LRESULT CALLBACK WndProc(HWND hWnd, UINT message, WPARAM wParam, LPARAM lParam)

{

int wmId, wmEvent;

PAINTSTRUCT ps;

HDC hdc;

static HWND hEdit1,hEdit2,hResult1,hButton1,hStatic1,hStatic2;

static HWND hEdit3,hEdit4,hResult2,hButton2,hStatic3,hStatic4;

static HWND hEdit5,hEdit6,hResult3,hButton3,hStatic5,hStatic6;

static HWND hEdit7,hEdit8,hResult4,hButton4,hStatic7,hStatic8;

switch (message)

{

case WM_CREATE:

hEdit1=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,30,30,100,20,hWnd,(HMENU) IDC_EDIT1,hInst,NULL);

hEdit2=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,230,30,100,20,hWnd,(HMENU) IDC_EDIT2,hInst,NULL);

hEdit3=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,30,130,100,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hEdit4=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,230,130,100,20,hWnd,(HMENU) IDC_EDIT1,hInst,NULL);

hEdit5=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,30,230,100,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hEdit6=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,230,230,100,20,hWnd,(HMENU) IDC_EDIT1,hInst,NULL);

hEdit7=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,30,330,100,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hEdit8=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,230,330,100,20,hWnd,(HMENU) IDC_EDIT1,hInst,NULL);

hResult1=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,430,30,150,20,hWnd,(HMENU) IDC_EDIT2,hInst,NULL);

hResult2=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,430,130,150,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hResult3=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,430,230,150,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hResult4=::CreateWindowA("EDIT",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,430,330,150,20,hWnd,(HMENU) IDC_EDIT3,hInst,NULL);

hButton1=::CreateWindowA("BUTTON",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,30,430,100,20,hWnd,(HMENU) IDC_BUTTON1,hInst,NULL);

hButton2=::CreateWindowA("BUTTON",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,200,430,100,20,hWnd,(HMENU) IDC_BUTTON2,hInst,NULL);

hButton3=::CreateWindowA("BUTTON",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,370,430,100,20,hWnd,(HMENU) IDC_BUTTON3,hInst,NULL);

hButton4=::CreateWindowA("BUTTON",NULL,WS_CHILD | WS_VISIBLE|WS_BORDER ,540,430,100,20,hWnd,(HMENU) IDC_BUTTON4,hInst,NULL);

::SetWindowText(hButton1,"加法");

::SetWindowText(hButton2,"减法");

::SetWindowText(hButton3,"乘法");

::SetWindowText(hButton4,"除法");

hStatic1=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,150,30,20,20,hWnd,NULL,hInst,NULL);

hStatic2=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,350,30,20,20,hWnd,NULL,hInst,NULL);

hStatic3=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,150,130,20,20,hWnd,NULL,hInst,NULL);

hStatic4=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,350,130,20,20,hWnd,NULL,hInst,NULL);

hStatic5=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,150,230,20,20,hWnd,NULL,hInst,NULL);

hStatic6=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,350,230,20,20,hWnd,NULL,hInst,NULL);

hStatic7=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,150,330,20,20,hWnd,NULL,hInst,NULL);

hStatic8=::CreateWindowA("STATIC",NULL,WS_CHILD | WS_VISIBLE ,350,330,20,20,hWnd,NULL,hInst,NULL);

::SetWindowText(hStatic1,"+");

::SetWindowText(hStatic2,"=");

::SetWindowText(hStatic3,"-");

::SetWindowText(hStatic4,"=");

::SetWindowText(hStatic5,"*");

::SetWindowText(hStatic6,"=");

::SetWindowText(hStatic7,"/");

::SetWindowText(hStatic8,"=");

break;

case WM_COMMAND:

wmId = LOWORD(wParam);

wmEvent = HIWORD(wParam);

// 分析菜单选择:

switch (wmId)

{

case IDC_BUTTON1:

//加法

char op1[11],op2[11],result[11];

int n1,n2,nResult;

::GetWindowText(hEdit1,op1,10);

::GetWindowText(hEdit2,op2,10);

n1=atoi(op1);

n2=atoi(op2);

nResult=n1+n2;

::sprintf(result,"%d",nResult);

::SetWindowText(hResult1,result);

break;

case IDC_BUTTON2:

//减法

char op3[11],op4[11],result1[11];

int n3,n4,nResult1;

::GetWindowText(hEdit3,op3,10);

::GetWindowText(hEdit4,op4,10);

n3=atoi(op3);

n4=atoi(op4);

nResult1=n3-n4;

::sprintf(result1,"%d",nResult1);

::SetWindowText(hResult2,result1);

break;

case IDC_BUTTON3:

//乘法

char op5[11],op6[11],result2[11];

int n5,n6,nResult2;

::GetWindowText(hEdit5,op5,10);

::GetWindowText(hEdit6,op6,10);

n5=atoi(op5);

n6=atoi(op6);

nResult2=n5*n6;

::sprintf(result2,"%d",nResult2);

::SetWindowText(hResult3,result2);

break;

case IDC_BUTTON4:

//除法

char op7[11],op8[11],result3[11];

int n7,n8,nResult3;

::GetWindowText(hEdit7,op7,10);

::GetWindowText(hEdit8,op8,10);

n7=atoi(op7);

n8=atoi(op8);

if(n8 == 0)

{

::SetWindowText(hResult4,"error:除数不能为0...");

break;

}

nResult3=n7/n8;

::sprintf(result3,"%d",nResult3);

::SetWindowText(hResult4,result3);

break;

case IDM_ABOUT:

DialogBox(hInst, MAKEINTRESOURCE(IDD_ABOUTBOX), hWnd, About);

break;

case IDM_EXIT:

DestroyWindow(hWnd);

break;

default:

return DefWindowProc(hWnd, message, wParam, lParam);

}

break;

case WM_PAINT:

hdc = BeginPaint(hWnd, &ps);

// TODO: 在此添加任意绘图代码...

EndPaint(hWnd, &ps);

break;

case WM_DESTROY:

PostQuitMessage(0);

break;

default:

return DefWindowProc(hWnd, message, wParam, lParam);

}

return 0;

}

// “关于”框的消息处理程序。

INT_PTR CALLBACK About(HWND hDlg, UINT message, WPARAM wParam, LPARAM lParam)

{

UNREFERENCED_PARAMETER(lParam);

switch (message)

{

case WM_INITDIALOG:

return (INT_PTR)TRUE;

case WM_COMMAND:

if (LOWORD(wParam) == IDOK || LOWORD(wParam) == IDCANCEL)

{

EndDialog(hDlg, LOWORD(wParam));

return (INT_PTR)TRUE;

}

break;

}

return (INT_PTR)FALSE;

}

5 执行过程截屏

6 总结

作了这个作业之后,我对上学期的Windows操作又再一步加深了。再进一步学习中发现了一些问题。

(1)我并不明白其中的有些句柄的意义。如

hEdit1=::CreateWindowA("EDIT",NULL,WS_CHILD

WS_VISIBLE|WS_BORDER ,30,30,100,20,hWnd,(HMENU) IDC_EDIT1,hInst,NULL);

这其中的30,30,100,20到底代表的是什么?

经过我的深入查阅资料才知道,第一个数字其实代表的是X坐标,第二个则是Y坐标,第三个是窗体宽度,第四个则是窗体高度。

(2)因为不熟悉Windows操作,所以经常会写错、泄露等等。

汇编语言实现十进制加减计算器

课程设计 题目十进制数加减计算器学院计算机科学与技术 专业计算机科学与技术 班级计算机0808班 姓名何爽 指导教师袁小玲 2010 年12 月31 日

课程设计任务书 学生姓名:何爽专业班级:计算机0808班 指导教师:袁小玲工作单位:计算机科学与技术学院 题目: 十进制数加减计算器的设计 初始条件: 理论:学完“汇编语言程序设计”、“课程计算机概论”、“高级语言程序设计”和“数字逻辑”。 实践:计算机学院科学系实验中心提供计算机和软件平台。如果自己有计算机可以在其上进行设计。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)十进制数加减计算器的设计。 (2)程序应有操作提示、输入和输出,界面追求友好,最好是菜单式的界面。 (3)设计若干用例(测试数据),上机测试程序并分析(评价)所设计的程序。 (4)设计报告格式按附件要求书写。课程设计报告书正文的内容应包括: 在正文第一行写课程设计题目; 1.需求说明(要求、功能简述)或问题描述; 2.设计说明(简要的分析与概要设计); 3.详细的算法描述; 4.源程序与执行结果(含测试方法和测试结果); 5.使用说明; 6.总结,包括设计心得(设计的特点、不足、收获与体会)和展望(该 程序进一步改进扩展的设想)。 时间安排: 设计时间一周:周1:查阅相关资料。 周2:系统分析,设计。 周3~4:编程并上机调试。 周5:撰写课程设计报告。 设计验收安排:20周星期五8:00起到计算机学院科学系实验中心进行上机验收。 设计报告书收取时间:20周的星期五下午5:00之前。 指导教师签名: 2010年12月31日 系主任(或责任教师)签名: 2010年12月31日

最新4位数加法计算器

…………………………………………………………最新精品资料推荐…………………………………………………… 安庆师范大学 2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计 姓名吴昊天、伍浩然、王鹏、万吉 学号070814018、070814008、070814005、070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016年 6月 6日

目录 一、绪言 (1) 二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 2.2.2单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计 (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1 Keil调试 (19) 6.2 Proteus调试 (19) 七、结束语 (20) 八、参考文献 (21)

一、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。电子时钟是现代社会中的主要计时工具之一,广泛应用于手机,电脑,汽车等社会生活需要的各个方面,及对时间有要求的场合。本设计采用AT89C52单片机作为主要核心部件,附以上电复位电路,时钟电路及按键调时电路组成。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。 二、系统设计 2.1 设计任务 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示“E”; 3、可以进行加减乘除所有运算; 4、添加其他功能。 2.2 方案比较与论证 2.2.1 系统整体流程图 图1 系统整体流程图 2.2.2 计算器的控制方案论证 用4*4的矩阵键盘组成0-9数字键及加、减、乘、除、等于、清零按键,LCD屏幕实时显

四位二进制加法计数器课程设计

成绩评定表 学生姓名郝晓鹏班级学号1103060129 专业通信工程课程设计题目四位二进制加法 计数器 评语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业通信工程 学生姓名郝晓鹏班级学号1103060129 课程设计题目四位二进制加法计数(缺0010 0011 1101 1110) 实践教学要求与任务: 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim仿真环境。 4、设计实现四位二进制加计数(缺0010 0011 1101 1110) 工作计划与进度安排: 第一周:熟悉Multisim及QuartusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计 方法的优缺点 第二周:1.在QuartusII环境中仿真实现四位二进制加计数(缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

摘要 本文采用在MAXPLUSⅡ环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUSⅡ环境;VHDL语言;四位二进制加计数;Multisim环境

QTP测试Windows7计算器

手动录制一个windows计算器的两个整数的加法 录制脚本 Window("计算器").WinButton("Button").Click Window("计算器").WinButton("Button_2").Click Window("计算器").WinButton("Button_3").Click Window("计算器").WinButton("Button_4").Click Window("计算器").Close test Result结果截图 修改脚本,将两个加数、运算符参数化 1.录制脚本 Window("计算器").WinButton("Button").Click Window("计算器").WinButton("Button_2").Click Window("计算器").WinButton("Button_3").Click Window("计算器").WinButton("Button_4").Click Window("计算器").Close

2.将数据源参数化 3.手动选择修改按键

4.通过脚本修改数据 5.输出结果

6. test Result结果截图 插入检查单 录制脚本 Window("计算器").WinButton("Button").Click Window("计算器").WinButton("Button_2").Click Window("计算器").WinButton("Button_3").Click Window("计算器").WinButton("Button_4").Click Window("计算器").WinButton("Button_4").Check CheckPoint("Button_4") Window("计算器").Close test Result结果截图

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

4位数加法计算器

安庆师范大学2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计姓名吴昊天、伍浩然、王鹏、万吉 学号070814018 070814008 070814005 070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016 年6月6日

一、设计任务及要求:设计任务: 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示 “ E ; 3、可以进行加减乘除所有运算; 4、添加其他功能。 要求: 首先进行预设计,根据设计的任务要求,先确定设计的硬件电路方案,然后进行硬件电路的初步设计,在计算机上画出硬件电路图,在老师的指导下进行修正硬件电路图,并对所涉及的参数进行计算。 在确定硬件的基础上,要进行软件的总体设计,包括软件主流程的设计以及各子程序的设计,同时,要写出详细的操作说明,如时间的调整方法,显示窗口的时间切换等,以配合软件的设计。 然后进入硬件的调试及编程工作,设计组内的同学可根据任务分工,有调试硬件各功能模块的,如键盘子程序、显示子程序等,有进行整体程序的编制的,各模块的编制过程中要注意资源的衔接。 最后进入联机调试,联机调试的原则也要采用分步走的原则,各个功能模块要逐步套入,通过一个再增加一项功能,从而达到设计的总体要求,不要上来编制个最大的程序,最后无法查找错误。 最后写出设计报告。 指导教师签名: 2016年6月6日 、成绩 指导教师签名: 年月曰 一、绪言 (1)

二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 222单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计................................................ (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1Keil 调试 (19) 6.2Proteus 调试 (19) 七、结束语 (20) 八、参考文献 (21) 一、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日

在win32工程下做计算器

课程设计报告 题目:在win32 application工程下计算器的设计与实现 小组成员:陈李元41212204 余斌41212202

目录1概述 1.1课程设计的内容 2系统需求分析 2.1系统目标 2.2重要功能 2.3开发环境 3设计过程 3.1主函数WinMain设计过程3.2窗口过程WndProc 4小结 5参考文献 附录1:程序代码 附录2:程序运行部分截图

1概述 1.1课程设计的内容 这次c++课程设计我们组选的是设计一个带界面的计算器,不是用MFC,而是在win32 application工程下做的带界面的计算器。它属于windows程序设计范畴。 我们主要是把功夫花在做界面上了,这个计算器本身所具有的功能很少,它只支持少量的算术运算。 2系统需求分析 2.1系统目标 我们在日常生活和学习中,经常会遇到一些关于数值的运算。所以我们组就着手开始做一个计算器方便我们的学习和生活。这个计算器它具有加法,减法,乘法,除法四种基本的算术运算。另外还具有计算N阶阶乘的功能,此外还具有求一个弧度的正弦(余弦和它功能类似要想求余弦事先做一些弧度的转化即可)。 该计算器用例图如下:

类图如下: 2.2重要功能 具备四种最基本的算术运算。另外还具有阶乘,求正弦的功能。 2.3开发环境 本程序是在visual c++ 6.0编译,链接,运行。它是在win32 application工程下所创建。 3设计过程 3.1主函数WinMain设计过程 首先在界面设计中我们要用到大量的windows API。这些函数都是在windows.h标准库中。

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

实验十进制加减法计数器

实验1 十进制加减法计数器 实验地点:电子楼218 实验时间:2012年10月19日指导老师:黄秋萍、陈虞苏 实验要求:设计十进制加减法计数器,保留测试程序、设计程序、仿真结果 1.设计程序: module count(EN,CLK,DOUT,F,RST); input EN,CLK,F,RST; output [3:0]DOUT; reg [3:0]DOUT; always@(posedge CLK) begin :abc if(EN) if(!RST) if(F) begin :a DOUT=DOUT+1; if(DOUT==10) DOUT=0; end //END A else begin :b DOUT=DOUT-1; if(DOUT==15) DOUT=9; end else DOUT=0; else DOUT=DOUT; end endmodule 2.测试程序 `timescale 10ns/1ns module test_count; wire [3:0] DOUT; reg EN,F,RST,CLK; count M(EN,CLK,DOUT,F,RST); initial begin :ABC CLK=0; EN=0;

RST=1; F=1; #100 EN=1; #200 RST=0; #1500 F=0; #3000 $stop; end always #50 CLK=~CLK; initial $monitor("EN=%b,F=%b,RST=%b,DOUT%D",EN,F,RST,DOUT); endmodule 3.测试结果 # EN=0,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT 0 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=1,RST=0,DOUT 6 # EN=1,F=1,RST=0,DOUT 7 # EN=1,F=1,RST=0,DOUT 8 # EN=1,F=1,RST=0,DOUT 9 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 4 # EN=1,F=0,RST=0,DOUT 3 # EN=1,F=0,RST=0,DOUT 2 # EN=1,F=0,RST=0,DOUT 1 # EN=1,F=0,RST=0,DOUT 0 # EN=1,F=0,RST=0,DOUT 9 # EN=1,F=0,RST=0,DOUT 8 # EN=1,F=0,RST=0,DOUT 7 # EN=1,F=0,RST=0,DOUT 6 # EN=1,F=0,RST=0,DOUT 5

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

四位二进制加法计数器

学院信息学院专业通信工程姓名陈洁学号02 设计题目数字系统课程设计 内容四位二进制加法计数器 技术参数和要求0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000 缺0100→0101 设计任务 1.按要求设计VHDL程序, 2.在Xinlinx Ise环境中运行程序并输出仿真波形。 工作进度和安排第18周: 1.学习Xinlinx Ise软件知识,熟悉软件相关操作; 2.学习multsim软件知识,熟悉其在画逻辑电路时的应用; 3.查阅相关资料,学习时序逻辑电路设计知识。 第20周: 1.按要求编写程序代码,; 2.运行并输出仿真波形; 3.程序下载到电路板测试; 4.利用multsim软件,设计时序电路; 5.运行并验证结果; 6.撰写报告。 指导教师(签字): 年月日学院院长(签字): 年月日

目录 一.数字系统简介 (3) 二.设计目的和要求 (3) 三.设计内容 (3) 四.VHDL程序设计 (3) 五.波形仿真 (11) 六. 逻辑电路设计 (12) 六.设计体会 (13) 七.参考文献 (13)

一.数字系统简介 在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑相互独立而又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者可以利用它们的模型设计电路并验证其他电路。VHDL这种工业标准的产生顺应了历史潮流。 二.设计目的和要求 1、通过《数字系统课程设计》的课程实验使电子类专业的学生能深入了解集成中规 模芯片的使用方法。 2、培养学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。三.设计内容 四位二进制加计数,时序图如下: 0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111 →0000 缺0100→0101 。由JK触发器组成4位异步二进制加法计数器。 四.VHDL程序设计 四位二进制加计数,缺0100,0101(sw向上是0(on);灯亮为0) LIBRARY IEEE; USE entity count10 is PORT (cp,r:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end count10; ARCHITECTURE Behavioral OF count10 IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) ; BEGIN PROCESS (cp,r) BEGIN if r='0' then count<="0000"; elsiF cp'EVENT AND cp='1' THEN if count="0011" THEN count <="0110"; ELSE count <= count +1; END IF; end if; END PROCESS; q<= count; end Behavioral;

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

4位数加法计算器

安庆师范大学 2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计 姓名吴昊天、伍浩然、王鹏、万吉 学号070814018、070814008、070814005、070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016年 6月 6日

一、设计任务及要求: 设计任务: 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示“E”; 3、可以进行加减乘除所有运算; 4、添加其他功能。 要求: 首先进行预设计,根据设计的任务要求,先确定设计的硬件电路方案,然后进行硬件电路的初步设计,在计算机上画出硬件电路图,在老师的指导下进行修正硬件电路图,并对所涉及的参数进行计算。 在确定硬件的基础上,要进行软件的总体设计,包括软件主流程的设计以及各子程序的设计,同时,要写出详细的操作说明,如时间的调整方法,显示窗口的时间切换等,以配合软件的设计。 然后进入硬件的调试及编程工作,设计组内的同学可根据任务分工,有调试硬件各功能模块的,如键盘子程序、显示子程序等,有进行整体程序的编制的,各模块的编制过程中要注意资源的衔接。 最后进入联机调试,联机调试的原则也要采用分步走的原则,各个功能模块要逐步套入,通过一个再增加一项功能,从而达到设计的总体要求,不要上来编制个最大的程序,最后无法查找错误。 最后写出设计报告。 指导教师签名: 2016年 6 月 6 日 三、成绩 指导教师签名: 年月日

目录 一、绪言 (1) 二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 2.2.2单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计 (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1 Keil调试 (19) 6.2 Proteus调试 (19) 七、结束语 (20) 八、参考文献 (21)

第2章 仿Windows计算器

第2章仿Windows计算器 第2章仿Windows计算器 2.1 仿Windows计算器概述 Windows计算器,是Windows操作系统自带计算器,,可以帮助用户完成数据的运算,它可分为“标准型”和“科学型”,本章的仿Windows计算器是标准型的Java实现,标准型Windows计算器实现的主要功能有:四则运算;求倒数;求开方;存储计算结果;读取计算结果;累积计算结果。 我们在第一章中,我们实现了一个在控制台进行的五子棋游戏,我们从本章开始将在Swing界面中实现本书的项目。在本章中,我们将使用到JFrame和JPanel两个Swing容器,使用到JT extField和JButton两个Swing容器,使用BorderLayout和GridLayout做两个布局器,以及使用到事件、事件监听器和事件适配器等。 实现一个计算器,界面中需要提供各种输入的按钮,再以这些按钮组成计算器的键盘,用户点击键盘输入值后,就可以将其所输入的值显示到一个文本框中,运算后,再将结果显示到文本框中。计算器的最终效果如图2.1所示。 图 2.1 用Swing制作的计算器 从图2.1中可以看到,我们开发界面的时候,需要提供一个文本框在窗口的最上部,文本框下面再提供各个计算器的按钮。 2.1.1 数学与其它符号介绍 在此计算器中,主要使用的数学运算有加、减、乘、除四则运算,或者对一个正数进行开方,或者对一个非0的数学求倒数,使用到的数学符号有: ?加、减、乘、除,对应使用的符号是“+”、“-”、“*”、“/”。 ?开方与倒数,对应使用的符号是“sqrt”和“1/x”。 ?求结果使用的数学符号是“=”。

2 用计算器按加法算式(练习课)

34+9= 10+60=9+50= 10+40=61+10= 18+5= 74+6= 53+5= 35+2= 8+50= 40+6= 62+7= 63+3= 11+2=60+8= 32+4= 84+4= 71+9= 12+6= 33+2=3+40= 15+4= 23+5= 37+1= 30+8= 43+1= 86+2= 70+3= 53+5= 47+4= 88+3= 47+6= 39+8= 75+5= 89+6= 23+8= 68+5= 26+8= 19+6= 28+5= 53+6= 37+9= 78+5= 86+6= 68+7= 87+9= 26+9= 25+6= 50+37= 49+7= 87+9= 50+8= 61+9= 39+8= 85+4= 91+8= 82+5=39+10= 46+7= 73+7=37+4= 78+3= 27+60= 68+5=9+25=35+6=2+40=86+2= 48+7= 39+10= 16+9= 5+18=17+3= 36+6= 29+5= 87+9= 48+8= 35+8=4+19= 7+26= 4+37= 29+4= 39+8= 26+7=

32+8= 25+5= 13+7= 86+8= 19+60= 16+9=34+6= 5+37= 32+8= 14+7= 37+9= 57+8=39+2= 27+4= 50+5= 54+9= 70+60= 39+50=10+40= 18+50= 74+6= 37+2= 26+50= 23+70=6+10= 47+4= 88+3= 47+6= 99+1= 68+5=26+8= 87+9= 83+8= 19+6= 75+5= 36+6=19+60= 18+7= 47+9=83+70= 67+40= 88+3=48+50= 47+6= 39+8= 68+5= 36+8= 19+6=23+6= 20+13= 23+3= 20+13= 16+12= 16+7=78+50= 14+29= 22+17= 16+11=22+4= 13+12=11+10= 18+11= 8+20= 13+13= 17-15= 24+11=24+7= 29+26= 9+7= 9+11= 10+28= 22+12=13-2= 7+3=3+7=18+5= 14+4= 0+16=19+1= 18+6=11+5= 11+18= 19+27= 11+9=

加法计算器

十进制加法计算器设计报告 目录 1、摘要----------------------------------------------------------------------2 2、设计任务和要求--------------------------------------------------------2 3、单片机简要原理--------------------------------------------------------2 3.1 AT89C51的介绍------------------------------------------------3 3.2 单片机最小系统------------------------------------------------6 3.3 七段共阳极数码管---------------------------------------------7 4、硬件设计-----------------------------------------------------------------7 4.1 键盘电路的设计-------------------------------------------------8 4.2 显示电路的设计-----------------------------------------------9 5、软件设计------------------------------------------------------------10 5.1 系统设计------------------------------------------------------10 5.2 显示与按键设计---------------------------------------------12 6、系统调试.-------------------------------------------------------------13 6.1系统初始状态的调试------------------------------------------13 6.2键盘输入功能的调试-----------------------------------------14 6.3系统运算功能的调试------------------------------------------16 7、心得体会与总结---------------------------------------------------------16 参考文献---------------------------------------------------------------------17 附录1 系统硬件电路图--------------------------------------------------18 附录2 程序清单-----------------------------------------------------------19 -----------

四位二进制同步加法计数器课程设计(缺0111 1000 1010 1011)

成绩评定表

课程设计任务书

目录 一、课程设计目的 (1) 二、设计框图 (1) 三、实现过程 (2) 1、ISE实现过程 (2) 1.1建立工程 (2) 1.2调试程序 (2) 1.3波形仿真 (5) 1.4引脚锁定与下载 (8) 1.5仿真结果分析 (10) 2、MULTISIM实现过程 (10) 2.1求驱动方程 (10) 2.2画逻辑电路图 (14) 2.3逻辑分析仪的仿真 (15) 2.4结果分析 (15) 四、总结 (16) 五、参考书目 (17)

一、课程设计目的 1了解同步减法计数器工作原理和逻辑功能。 2掌握计数器电路的分析、设计方法及应用。 3.学会正确使用JK 触发器。 二、设计框图 状态转换图是描述时序电路的一种方法,具有形象直观的特点,即其把所用 触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。 在本课程设计中,四位二进制同步加法计数器用四个CP 下降沿触发的JK 触发器实现,其中有相应的跳变,在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下: 去除约束项后如下: 0110 100111001101111011110101 010000110010000100000/0/0/0/0/0 /0/0/0/0/??←??←??←??←??←?→??→??→??→??→? B:状态转换图

三、实现过程 1. ISE实现过程 1.1建立工程。File——〉New Project;输入Project Name;即工程名; Project Location,即工程保存的位置;然后next——>……——>next直至finish。 图1.1 图1.2

相关主题