搜档网
当前位置:搜档网 › 计算机组成原理运算器实验

计算机组成原理运算器实验

实验一运算器实验

计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU中的运算器来完成,运算器也称作算术逻辑部件ALU。本章首先安排一个基本的运算器实验,了解运算器的基本结构,然后再设计一个加法器和一个乘法器。

一、实验目的

(1) 了解运算器的组成结构。

(2) 掌握运算器的工作原理。

二、实验设备

PC机一台,TD-CMA实验系统一套。

三、实验原理

本实验的原理如图1-1所示。

运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。

逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-2所示。图中显示的是一个4×4的矩阵(系统中是一个8×8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即:

(1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。

(2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。

(3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

D[7..0]

IN[7..0]

图1-1 运算器原理图

运算器部件由一片CPLD实现。ALU的输入和输出通过三态门74LS245连到CPU内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除T4和CLR,其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR按钮。T4由时序单元的TS4提供,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。

in[3] in[2] in[1] in[0]

out[0]out[1]out[2]

out[3]

图1-2 交叉开关桶形移位器原理图

暂存器A和暂存器B的数据能在LED灯上实时显示,原理如图1-3所示(以A0为例,其它相同)。进位标志FC、零标志FZ和数据总线D7…D0的显示原理也是如此。

VCC A0

图1-3 A0显示原理图

ALU和外围电路的连接如图1-4所示,图中的小方框代表排针座。

运算器的逻辑功能表如表1-1所示,其中S3 S2 S1 S0 CN为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

IN[7..0]

D[7..0]

图1-4 ALU和外围电路连接原理图

表1-1 运算器逻辑功能表

四、实验步骤

(1) 按图1-5连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验相同)。

图1-5 实验接线图

(2) 将时序与操作台单元的开关KK2置为‘单步’档,开关KK1、KK3置为‘运行’档。 (3) 打开电源开

关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON单元的CLR按钮,将运算器的A、B和FC、FZ清零。

(4) 用输入开关向暂存器A置数。

①拨动CON单元的SD27…SD20数据开关,形成二进制数01100101(或其它数值),数据显示亮为‘1’,灭为‘0’。

②置LDA=1,LDB=0,连续按动时序单元的ST按钮,产生一个T4上沿,则将二进制数01100101置入暂存器A中,暂存器A的值通过ALU单元的A7…A0八位LED灯显示。

(5) 用输入开关向暂存器B置数。

①拨动CON单元的SD27…SD20数据开关,形成二进制数10100111(或其它数值)。

②置LDA=0,LDB=1,连续按动时序单元的ST按钮,产生一个T4上沿,则将二进制数10100111

置入暂存器B中,暂存器B的值通过ALU单元的B7…B0八位LED灯显示。

(6) 改变运算器的功能设置,观察运算器的输出。置ALU_B=0、LDA=0、LDB=0,然后按表1-1置S3、S2、S1、S0和Cn的数值,并观察数据总线LED显示灯显示的结果。如置S3、S2、S1、S0为0010,运算器作逻辑与运算,置S3、S2、S1、S0为1001,运算器作加法运算。

如果实验箱和PC联机操作,则可通过软件中的数据通路图来观测实验结果(软件使用说明请看附录一),方法是:打开软件,选择联机软件的“【实验】—【运算器实验】”,打开运算器实验的数据通路图,如图1-6所示。进行上面的手动操作,每按动一次ST按钮,数据通路图会有数据的流动,反映当前运算器所做的操作,或在软件中选择“【调试】—【单节拍】”,其作用相当于将时序单元的状态开关KK2置为‘单拍’档后按动了一次ST按钮,数据通路图也会反映当前运算器所做的操作。

重复上述操作,并完成表1-2。然后改变A、B的值,验证FC、FZ的锁存功能。

图1-6 数据通路图

表1-2 运算结果表

基本运算器实验

计算机科学与技术系 实验报告 专业名称_______ 计算机科学与技术_________ 课程名称________ 计算机组成原理__________ 项目名称________ 基本运算器实验__________ 班级_______________________________ 学号_______________________________ 姓名_______________________________ 同组人员_________________________________ 实验日期_________________________________ 一、实验目的与要求 实验目的 (1)了解运算器的组成结构 (2)掌握运算器的工作原理 实验要求 (1)实验之前,应认真准备,写出实验步骤和具体设计内容,否则实验效率会很低, 次实验时间根本无法完成实验任务;

(2)应在实验前掌握所以控制信号的作用,写出实验预习报告并带入实验室; (3)实验过程中,应认真进行实验操作,既不要因为粗心造成短路等事故而损坏设备,又要自习思考实验有关内容; (4)实验之后,应认真思考总结,写出实验报告,包括实验步骤和具体实验结果,遇到的问题和分析与解决思路。还应写出自己的心得体会,也可以对教学实验提出新的建议等。实验报告要上交老师。 二、实验逻辑原理图与分析 画实验逻辑原理图 逻辑原理图分析 上图为运算器原理图。如图所示运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM, 各部件对操作数进行何种运算由控制信号S3- S0和CN来决定(三选一开关),任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的 运算,还将置进位标志FC,在运算结果输出前,置ALU零标志FZ。ALU中所有模块集成在一片CPLD中。 ALU的输入和输出通过三态门74LS245连到CPU内总线上,另外还有指示灯标明进位标志FC和零标志F乙图中除T4和CLR其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4, CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B 为低有效,控制运算器的输出。其余为高有效。 暂存器A和暂存器B的数据以及进位标志FC零标志FZ和数据总线D7…D0能在LED灯上实时显示。亮表示1,灭表示0。LDA和LDB用于控制算逻部件的输入数据。满足LDA=1且T4传来脉冲信号时,数据将会传入暂存器A,同理当LEB=1且T4传来脉冲信号时,数据传入B暂存器。 逻辑运算部件由逻辑门构成,较为简单,可将两个数据按位进行与、或、异或,以及将一个数据的各位求非。有的运算器还能进行二值代码的16种逻辑操作。 算术运算部件最基本的操作是加法。一个数与零相加,等于简单地传送这个数。将一个数的代码求补,与另一个数相加,相当于从后一个数中减去前一个数。将两个数相减可以比较它们的大小。 移位运算部件采用的是桶形移位器,一般采用交叉开关矩阵来实现,每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1)对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0。

实验一 运算器实验(接线参考)

实验一运算器实验 一、实验目的: 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程; 3.验证带进位控制的74LS181的功能。 二、预习要求: 1复习本次实验所用的各种数字集成电路的性能及工作原理; 2预习实验步骤,了解实验中要求的注意之处。 三、实验设备: EL-JY-II型计算机组成原理实验系统一套,排线若干。 四、电路组成: 本模块由算术逻辑单元ALU 74LS181(U7、U8、U9、U10)、暂存器74LS273(U3、U4、U5、U6)、三态门74LS244(U11、U12)和控制电路(集成于EP1K10内部)等组成。电路图见图1-1(a)、1-1(b)。 图1-1(a)ALU电路

图1-1(b)ALU控制电路 算术逻辑单元ALU是由四片74LS181构成。74LS181的功能控制条件由S3、S2、S1、S0、 M、Cn决定。高电平方式的74LS181的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。 四片74LS273构成两个16位数据暂存器,运算器的输出采用三态门74LS244。它们的管脚分配和引出端功能符号详见图1-3和图1-4。 图1-2 74LS181管脚分配表1-2 74LS181输出端功能符号 74LS181功能表见表1-1,其中符号“+”表示逻辑“或”运算,符号“*”表示逻辑“与”运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术减运算。

图1-3(a) 74LS273管脚分配图1-3(b)74LS273功能表

计算机组成原理-运算器实验

实验题目实验四运算器实验 实验类型验证性实验实验日期4月6日 题目来源1、必修 2、选修 3、自拟(设计) 4、专题 一、实验目的及要求 (1)掌握算术逻辑运算加、减、与等的工作原理。 (2)熟悉简单运算器的数据传送通路。 (3)验证实验台运算器的 8 位加、减、与、直通功能。 (4)按给定数据,完成几种指定的算术和逻辑运算。 二、实验仪器设备与软件环境 TEC-9 计算机组成原理实验台、PC机、组成原理实验环境 三、实验过程及实验结果分析 (包括实验原理、步骤、数据、图表、结果及分析。软件类实验应写出程序代码;硬件类实验画出电路原理图(或逻辑框图)、列出实验数据,并对实验结果进行分析)(1)根据个人理解,画出本次实验的电路逻辑框图。

向DR2存入55H,二进制为:0101 0101,设置开关,按QD. 实验结果: 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1

2、验证运算器的算术运算和逻辑运算功能。 置 SW_BUS = 0,关闭数据开关 SW0—SW7 对数据总线 DBUS 的输出;置ALU_BUS = 1,开启 ALU 对 DBUS 的输出。正确选择 S 3、S2、S1、S0,完成表 2的实验内容,记下实验结果(数据和进位)并对结果进行分析。 完成表2的部分实验,对A 取反。DR2中数据作为A,DR1中数据作为B ,进行算数和逻辑运算。 向DR2存入FFH,二进制为:1111 1111,设置开关,按QD. 并进行逻辑运算,对A 取反,将结果保存到寄存器堆R3中,设置开关,按QD. 实验结果:1111 1111 取反为00H 3、结合实验二内容,设计硬件连线和实验步骤,完成从寄存器堆中取数参与运算, 记下实验结果(数据和进位)并对结果进行分析。要求完成以下内容: (1)设置 R0 值为 01100011; (2)设置 R1 值为 10110100; (3)设置 R2 值为 111111111; 向R0存入63H,二进制为:0110 0011,设置开关,按QD. 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 1 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS

计算机组成原理运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU中的运算器来完成,运算器也称作算术逻辑部件ALU。本章首先安排一个基本的运算器实验,了解运算器的基本结构,然后再设计一个加法器和一个乘法器。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验的原理如图1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-2所示。图中显示的是一个4×4的矩阵(系统中是一个8×8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

《计算机组成原理》运算器实验报告

一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 4、了解多片ALU的组合扩展功能和进位链的实现 三、实验内容: 1、两16位操作数的算术运算及进位影响 2、两16位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统,排线若干 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1; 4、从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2; 5、关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正; 6、设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、设置方式控制M=1,拨动功能选择端S3,S2,S1,S0 进行逻辑运算,记录ALU输出结果; 9、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 10、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。

六、实验结果 整个实验记录的实验结果如下: 表一:ALU 算术与逻辑运算实验结果

七、分析讨论 M是算术与逻辑运算的选择端决定了ALU 进行那类运算,S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和进位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如本ALU虽然没有求补功能但可以通过取反加1完成求补运算,向左移位的实现可采用自身相加(A+A=2A),此外选择M=1,S3S2S1S0=1111或S3S2S1S0=1010将操作数A或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个16位数据就是通过数据总线分两次(分时)传送的。 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。

计算机组成原理 实验一 运算器组成实验

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 S3 S2 S1 S0 M 图3.1 运算器实验电路 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。 RF(U54)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、

B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。 DR1(U47)和DR2(U48)各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B输入端口。ALU(U31、U35)由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1.按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块 内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开 关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科 研能力,手工连线是绝对必要的。 2.用开关SW7—SW0向通用寄存器堆RF内的R0—R3寄存器置数。然后读出R0—R3 的内容,在数据总线DBUS上显示出来。 3.验证ALU的正逻辑算术、逻辑运算功能。 令DR1=55H,DR2=0AAH,Cn#=1。在M=0和M=1两种情况下,令S3—S0的值从0000B变到1111B,列表表示出实验结果。实验结果包含进位C,进位C由指示灯显示。 注意:进位C是运算器ALU最高位进位Cn+4#的反,即有进位为1,无进位为0。 五、实验要求 1.做好实验预习,掌握运算器的数据传输通路及其功能特性,并熟悉本实验中所用 的模拟开关的作用和使用方法。 2.写出实验报告,内容是: (1)实验目的。 (2)按实验任务3的要求,列表表示出实验结果。 (3)按实验任务4的要求,在表中填写各控制信号模拟开关值,以及运算结果值。 六、实验步骤和实验结果 (1)实验任务2 的实验步骤和结果如下:(假定令R0=34H,R1=21H,R2=52H,R3=65H)1.置DP=1,DB=0,编程开关拨到正常位置。 接线表如下:

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

《计算机组成原理》实验1寄存器试验,2运算器试验

实验指导书 课程:计算机组成原理实验教师: 班级:

第一章系统概述1.1 实验系统组成

第二章基础模块实验 实验一寄存器实验 实验目的: 熟悉试验仪各部分功能。 掌握寄存器结构、工作原理及其控制方法。 实验内容: 利用实验仪开关区上的开关sk23-sk16提供数据,其它开关做为控制信号,将数据通过DBUS写入OUT 寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。 实验原理: 实验箱用74HC273 来构成寄存器。 (1)74HC273的功能如下: (2)实验箱中74HC273的连接方式: (3)实验逻辑框图

1 2、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次 EXEC键,点亮指示灯,表示实验仪在运行状态。 3、利用开关和控制信号将数据通过DBUS写入OUT寄存器,并将OUT寄存器的内容送往扩展区通过数 码管和发光二极管显示。并写出将数据5FH写入OUT寄存器的操作过程。

实验二运算器实验 实验目的: 了解运算器的组成结构;掌握运算器的工作原理和控制方法。 实验内容: 利用实验仪提供的运算器,通过开关提供数据信号,将数据写入寄存器A和寄存器B,并用开关控制ALU的运算方式,验证运算器的功能。 实验原理: (1)实验逻辑框图: 信号说明: IN0~IN7:ALU数据输入信号 ALU_D0~ALU_D7:ALU数据输出信号 :寄存器A写信号,低电平有效。当T1节拍信号到来,该信号有效时,IN0~IN7数据可以写入 寄存器A。 :寄存器B写信号,低电平有效。当T2节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器B。 :ALU计算结果读出信号,当T3节拍信号到来,该信号有效时,ALU计算结果送往 ALU_D0~ALU_D7。 S3~S0,CN_I:ALU运算控制信号,控制ALU的运算方法。 T1,T2,T3:三个节拍信号,高电平有效,由con区的uSTEP按键控制,在运行状态时,依次按下uSTEP 键会依次发出T1、T2、T3节拍。 FC,FZ,FS,I:进位标志FC、零标志FZ、正负标志FS,中断允许标志I (2)ALU功能表

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

计算机组成原理实验

实验一、算术逻辑运算器 1.实验目的与要求: 1.1 实验目的: 1)掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2)掌握简单运算器的数据传送通道。 3)演算由74LS181等组合逻辑电路组成的运算功能发生器运算功能。 4)能够按给定数据,完成实验指定的算术/逻辑运算。 1.2 实验要求: 1)总体设计: 1.两片74LS181(每片4位)以并/串联形式构成字长为8位的算术逻辑运算器ALU。2.数据寄存器DR1和DR2想运算器ALU提供运算的数据。 3.8位数据开关D7-D0用来输入参与运算的数据,并经过一个输入双向三态门与数据总线相连。 4.数据显示灯已与数据总线相连,用来显示数据总线上的内容。 2)算术逻辑运算器实验原理图,如下: 、两片74LS181以并\串联形式构成字长为8位的算术逻辑运算器ALU。左边的74LS181运算高四位数据,右边的74LS181运算低四位数据,两片181通过进位端进行连接。 、A0-A3是4位数据输入端A,B0-B3是4位数据输入端B。F0-F3是运算结果输出端。 、运算器ALU的输出经过一个输出双向三态门(74LS245)与数据总线相连。 、数据寄存器DR1和DR2向运算器ALU提供运算的数据。D7-D0是数据输入端,Q7-Q0是数据输出端。DR1数据分成两半,数据的高四位输入给左边74LS181的A端,数据的低四位输入给右边74LS181的A端。 、8位数据开关D7-D0用来输入参与运算的数据,并经过一个输入双向三态门(74LS245)与数据总线相连。 、数据显示灯已与数据总线相连用来显示数据总线上的内容。 、S3、S2、S1、S0、M、CN为ALU运算选择控制器,由它们共同决定运算器执行哪一种运算。 、ALU-B是输出三态门的控制端,控制运算器的运算结果是否送到数据总线上。低电平有效。 、SW-B是输入三态门的控制端,控制"INPUT DEVICE"中的8位数据开关D7-D0的数据是否送到数据总线BUS上。低电平有效。

计算机组成原理实验

计算机组成原理实验指导 实验一运算器部件实验 一、实验目的 ⒈掌握简单运算器的数据传输方式。 ⒉验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。三、实验原理 实验中所用的运算器数据通路如图2-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

图2-1-1运算器电原理图 图2-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。 四、实验连线 图2-1-2实验连线示意图 按图2-1-2所示,连接实验电路: ①总线接口连接:用8芯扁平线连接图2-1-2中所有标明“”或“”图案的总线接口。 ②控制线与时钟信号“”连接:用双头实验导线连接图2-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图2-1-3所示系统用位于实验系统“二进制开关单元”的26只拨动开关来模拟与微控制器相对应的控制信号。用手动加载正逻辑控制电平(即高电平信号“H”)和按【单步】命令键产生的单周期4拍时序信号T1、T2、T3、T4的方法来实现和完成各单元实验所需的控制信号操作。

计算机组成运算器实验报告

计算机组成运算器实验报告 计算机组成运算器实验报告 引言: 计算机是当今社会不可或缺的工具,而计算机的核心部件之一就是运算器。运 算器是计算机中负责执行算术和逻辑运算的部件,它的设计和实现对计算机的 整体性能起着至关重要的作用。本实验旨在通过设计和搭建一个简单的运算器,深入理解和掌握计算机组成原理。 一、实验目的 本次实验的主要目的是通过搭建一个基于逻辑门的8位运算器,了解和掌握运 算器的基本原理和设计方法。具体目标如下: 1. 学习和理解运算器的基本功能和工作原理; 2. 掌握逻辑门的基本知识和使用方法; 3. 设计和实现一个具有加法、减法、乘法和除法功能的8位运算器; 4. 验证运算器的正确性和可靠性。 二、实验原理 1. 运算器的功能 运算器是计算机中执行算术和逻辑运算的核心部件,其主要功能包括加法、减法、乘法和除法等。通过逻辑门的组合和控制信号的输入,可以实现各种不同 的运算操作。 2. 逻辑门的基本原理 逻辑门是运算器中最基本的构建单元,它根据输入信号的不同,产生相应的输 出信号。常见的逻辑门包括与门、或门、非门等。通过逻辑门的组合和级联,

可以构建出更复杂的逻辑电路,实现各种逻辑运算。 3. 运算器的设计方法 运算器的设计方法主要包括两种:组合逻辑设计和时序逻辑设计。组合逻辑设计是指根据输入信号的组合,直接输出相应的结果。时序逻辑设计是指根据输入信号的变化过程,按照一定的时序规则输出结果。 三、实验过程 1. 运算器的整体设计 根据实验要求,我们需要设计一个具有加法、减法、乘法和除法功能的8位运算器。首先,我们需要确定运算器的输入和输出信号的格式和位数。然后,根据运算操作的特点,选择合适的逻辑门进行组合和级联,实现各种运算操作。 2. 逻辑门的选择和连接 在设计运算器时,我们需要根据实际需求选择适当的逻辑门。例如,对于加法操作,我们可以选择全加器进行设计;对于减法操作,可以选择加法器和取反器进行设计;对于乘法和除法操作,可以选择移位寄存器和与门进行设计。通过逻辑门的连接和控制信号的输入,可以实现各种运算操作。 3. 运算器的验证和测试 在完成运算器的设计和搭建后,我们需要进行验证和测试,确保其功能的正确性和可靠性。可以通过输入不同的测试数据,观察输出结果是否符合预期。同时,还可以通过性能测试和稳定性测试,评估运算器的性能和可靠性。 四、实验结果与分析 经过反复的设计和调试,我们成功搭建了一个具有加法、减法、乘法和除法功能的8位运算器。在测试过程中,我们输入了不同的测试数据,并观察了输出

运算器实验总结

运算器实验总结 引言 本文旨在总结并分析我们小组进行的运算器实验。该实验是计算机组成原理课 程中的一项重要实践内容,通过设计和实现一个简单的运算器,我们加深了对计算机基本运算原理的理解,并提升了实际操作的能力。 实验目标 本次实验的主要目标是设计一个基本的运算器,能够支持常见的算术运算,包 括加法、减法、乘法和除法。实验要求我们使用一个预定义的指令集,并利用指令集中的指令完成相应的运算操作。实验的重点在于理解运算器设计的原理和实现逻辑。 实验步骤 1. 指令集设计 首先,我们需要设计一个符合实验要求的指令集。根据要求,指令集应包括加 法指令、减法指令、乘法指令和除法指令,以及相应的存储器读写指令和跳转指令。我们经过讨论和研究,综合考虑了指令的使用频率和实现难度,最终确定了一个简洁而实用的指令集。 2. 运算器设计 在指令集确定后,我们开始设计运算器的硬件电路。运算器主要由运算单元、 存储器和控制单元组成。我们根据指令集的需求,设计了相应的运算单元和存储器,并利用逻辑门和触发器等基本电子元件实现了运算器的硬件电路。 3. 运算器实现 在硬件电路设计完成后,我们将其实现为实际的运算器。这一步骤需要进行电 路连接和元件焊接等操作。经过小组成员的共同努力,我们最终成功地将硬件电路编码为实际的运算器。 4. 运算器测试 完成运算器的实现后,我们对其进行了全面的测试。测试过程包括输入不同的 算术表达式和指令,验证运算器的运算正确性和稳定性。我们还进行了性能测试,评估运算器的运算速度和资源使用情况。

实验结果 经过严格的测试和评估,我们的运算器设计和实现达到了预期的效果。在正确性方面,我们进行了大量的功能测试,发现运算器能够正确地执行各种算术运算。在性能方面,我们进行了多轮性能测试,发现运算器的运算速度能够满足我们的需求,并且资源使用情况较为合理。 总结与体会 通过本次运算器实验,我们深入理解了计算机的运算原理和实现逻辑。我们了解了指令集的设计和运算器的硬件电路实现过程,并通过实际操作提升了我们的实践能力。同时,我们也发现了一些不足之处,例如在设计过程中的某些决策可能不够合理,导致后期调试的困难。这些经验和教训对我们今后的学习和工作都有很大的帮助。 在今后的学习中,我们将继续加强对计算机组成原理和运算器设计的学习和理解。我们会进一步探索运算器的优化和扩展,提高其性能和功能,以应对更为复杂的计算任务。我们相信,通过不断地实践和思考,我们能够在计算机领域取得更多的成果。 参考文献 暂无 注:本文档为人工智能助手生成,仅供参考。

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

相关主题