搜档网
当前位置:搜档网 › 计算机组成与体系结构知识点

计算机组成与体系结构知识点

计算机组成与体系结构知识点
计算机组成与体系结构知识点

计算机组成与体系结构知识点

1.总线和输入输出系统:

1.总线

总线是构成计算机系统的互连机构,是多个系统功能部件之间进行数据传送的公共通路。借助于总线连接,计算机在各系统功能部件之间实现地址、数据和控制信息的交换,并在争用资源的基础上进行工作。

2.总线特性

总线特性包括:物理特性:描述总线的物理连接方式(电缆式、主板式、背板式);

功能特性:描述总线中每一根线的功能;

电气特性:定义每一根线上信号的传递方向、传递方式(单端方式或差分方式等),以及有效电平范围;

时间特性:定义了总线上各信号的时序关系。

3.总线标准化

为了使不同厂家生产的相同功能部件可以互换使用,就需要进行系统总线的标准化工作,总线的标准化有利于系统的可扩展性。标准化工作一般由国际标准化组织负责进行定义或推荐,从总线特性上进行规范,标准化总线种类繁多,例:ISA总线、PCI总线、Futurebus+总线等。

4. 总线带宽

总线带宽是衡量总线性能的重要指标,定义了总线本身所能达到的最高传输速率(但实际带宽会受到限制),单位:兆字节每秒(MB/s)。

5.接口

接口是连接两个部件的逻辑电路,适配器就是一种典型的接口。计算机接口的主要功能是:实现高速CPU与低速外设之间工作速度上的匹配和同步,并完成计算机与和外设之间的所有数据传送和控制。

接口的作用可归纳为:

(1)实现数据缓冲,使主机与外设在工作速度上达到匹配;

(2)实现数据格式的转换;

(3)提供外设和接口的状态;

(4)实现主机与外设之间的通讯联络控制。

6.设置接口的必要性

由于I/O设备在结构和工作原理上与主机有很大的差异,主要为:

(1)传送速度的匹配问题;

(2)时序的配合问题;

(3)信息表示格式上的一致性问题;

(4)信息类型及信号电平匹配问题。

为了协调这些差异,需加入接口电路,接口在这里起一个转换器的作用。

7.接口的典型功能

接口通常具有:控制、缓冲、状态、转换、整理、程序中断功能。

8.设备编址方法

统一编址:将I/O设备与内存统一编址,占有同一个地址空间。以地址区分访问外设或存储器,不需专门的I/O指令。但占有了一部分内存空间。

单独编址:将I/O设备与内存单独变址,分别占有两个不同的地址空间。

由执行不同的指令区分访问内存或外设,所以需I/O指令。

9.并行传送

并行传送每传送一位数据需要一条传输线,一般采用电位传送方式进行数据传送。当采用并行传送

方式时,主机与接口、接口与外设之间,都是以一个字或一个字节的各位同时进行处理的方式完成信息传递工作的,即每次传送一个字或一个字节的全部代码。

10.串行传送

串行传送即使用一条传输线,采用脉冲传送方式进行数据传送。串行接口中必须设置具有移位功能的数据缓冲寄存器,以实现数据格式的串-并转换。当采用串行传送方式时,接口与I/O设备之间通过一根数据传输线按位依次传送数据,而接口主机之间仍按照并行的方式实行数据传递。

串行传送的主要优点是只需要一条传输线,这对长距离传输降低成本尤为重要。缺点就是传送速度慢。

11.分时传送

分时传送即指总线复用或是共享总线的部件分时使用总线。

12. 波特率

波特是信号传输速度的单位,波特率等于每秒内线路状态的改变次数。标准波特率有:1200、2400、4800、9600、19200等,1200波特率即指信号能在1秒钟内改变1200次值。

二进制系统中,信息的最小单位是比特,仅当每个信号元素代表一比特信息时,波特率才等于比特率。

13.总线仲裁

当多个主设备同时争用总线控制权时,由总线仲裁部件以优先权或公平策略进行仲裁,授权于其中的一个主设备总线的控制权。

仲裁方式:(1)集中式仲裁方式:

①链式查询方式;

②计数器定时查询方式;

③独立请求方式;

(2)分布式仲裁方式。

14.总线定时

总线的一次信息传送过程分为五个阶段:请求总线、总线仲裁、寻址目的地址、信息传送、状态返回。为同步主方、从方的操作,必须制定定时协议。

定时方式:(1)同步定时:事件出现在总线上的时刻由总线时钟信号来确定;

(2)异步定时:采用应答方式进行总线传输控制。

15.总线数据传送模式

大多支持四类数传模式:

(1)读、写操作:读操作,即从方到主方的数据传送;

写操作,即主方到从方的数据传送;

(2)块传送操作:即猝发式传送,只需给出块的起始地址,然后对固定长度的数据一个接一个地读出或写入;

(3)写后读、读修改写操作:只给出一次地址,连续进行操作。前者用于校验;后者用于多道程序系统中对共享存储资源的保护;

(4)广播、广集操作: 广播,即一个主方对多个从方进行写操作;

广集,即与广播相反的操作。

16.总线类型

总线是构成计算机系统的互联机构,是多个系统功能部件之间进行数据传送的公共

通路。

总线可分以下类型:

①内部总线:CPU内部连接各寄存器及运算器部件之间的总线;

②系统总线:即外部总线,CPU和计算机系统中其他高速功能部件相互连接的总线;

③总线:连接中低速I/O设备的总线;

I/O

④通信总线:多个计算机之间相互连接的通信线路。

17.总线的连接方式

总线的连接方式对计算机系统性能起着十分重要的作用。

单机系统中总线结构的基本类型:

· 单总线:使用一条单一的系统总线来连接CPU、内存和I/O设备,各部件分时使用总线交换信息,要求各部件高速运行。

优点:简单,易于扩充;

缺点:总线共用,易阻塞。

· 双总线:在CPU和主存之间专门设置了一组高速的存储总线。

优点:既保持了单总线的优点,又使CPU能够通过专用总线与存储器高速交换信息;

缺点:增加了一定的硬件代价。

· 三总线:在双总线的基础上增加了I/O总线

优点:合理发挥各总线作用;

缺点:增加了硬件代价。

· 多总线:如下图所示,将速度相近的部件与设备连于同一类性能的总线,充分发挥总线效能。总体上提高了系统性能。

· CPU Bus:连接CPU和存储器的高速总线,减轻系统总线的负担;

· PCI Bus:用于连接高速的I/O设备;

· Expansion Bus:ISA/EISA/MCA总线,连接中、低速I/O设备;

· Bridge: 各总线通过"桥"芯片连成总体。

· Bridge/Memory Controlle---北桥

· Expansion Bus Bridge---南桥

18. I/O设备必须通过接口连于总线(总线的概念和结构形态)

接口接口也称适配器,以实现高速CPU与低速外设之间,工作速度上的匹配和同步,并完成计算机与和外设之间的所有数据传送和控制。

接口的作用可归纳为:

(1)实现数据缓冲,使主机与外设在工作速度上达到匹配;

(2)实现数据格式的转换;

(3)提供外设和接口的状态;

(4)实现主机与外设之间的通讯联络控制。

19.设置接口的必要性

由于I/O设备在结构和工作原理上与主机有很大的差异,主要为:

(1)传送速度的匹配问题;

(2)时序的配合问题;

(3)信息表示格式上的一致性问题;

(4)信息类型及信号电平匹配问题。

总线结构对计算机系统性能的影响

· 对最大存储容量和指令系统的主要影响体现在主存与外设的编址方式上。

· 对吞吐量的影响主要体现在数据的传输方式上。

20.总线的内部结构

Pentium机总线结构

1.Pentium机采用多总线结构,总线分为三层:CPU总线、PCI总线和ISA总线。

(1)CPU总线:

· 连接CPU和存储器

· 总线时钟频率为为66.6MHz;

(2)PCI总线:

· 连接高速I/O设备

· 总线时钟频率为33.3MHz,带宽132MB/s(32位)、264MB/s(64位)

· 总线采用集中式仲裁方式,有专用的PCI总线仲裁器;

(3)ISA总线:

· 连接低速的I/O设备

· 支持7级DMA通道和15级可屏蔽中断。

21.总线间利用"桥"芯片沟通,连成整体

☆桥"芯片

"

· 功能:· 信号速度缓冲;

· 电平转换

· 控制协议的转换

· 种类:· 北桥:连接CPU总线-PCI总线

· 南桥:连接PCI总线-ISA总线

总线概述

1.总线的分类

1.按总线连接的部件,可将总线分:

(1)芯片内总线。连接芯片内总线的总线,例如CPU内部总线。芯片内总线结构简单,传输距离很短,传输速度很高。

系统总线。系统总线指在一个计算机系统内连接CPU、主存、I\O接口等部件的总线。系统总线包括地址、数据和控制信号三类传输线,以及电源线。系统总线的连接距离较短,传输较快。

外总线。外总线则是多台计算机之间,或计算机与一些智能设备之间的连接总线。一般仅有数据线及简单的控制信号线,数据线的数据通路宽度较窄。外总线的传输距离一般较远,速度较低。

(2)按传送方向,可将总线分为:

单向总线。数据只能延一个方向传送,只能一个设备发送另一个设备接收。

双向总线。数据可以向两个方向传送,一个设备既可从总线上接收信息,也可向总线上发送

信息。

(3)按照数据传送格式,可将总线分为:

并行总线。并行总线中的数据线有多根,可同时传送多个二进制位,通常将数据总线上可同时传送的二进制位数称为数据通路宽度。系统总线一般是并行总线,其数据通路宽度多与CPU一致,并为字节(8位)的整数倍。

串行总线。串行总线中的数据线只有一根,只能串行地逐位传送数据或有两根数据线,分别实现两个方向的数据传输。外总线较多采用串行总线,以节省通信线路的成本、实现远距离传输,显然串行总线传输速度低于并行总线。

(4)按时序控制方式,可将总线分为:

同步总线,同步总线设置有统一的时钟信号,进行数据传送时,手法双方严格遵循这个时钟信号。同步总线应用于总线上各部件间工作表达方式差异较小的场合,其控制较简单,但时间利用率可能不高。

异步总线。异步总线在数据传送时,没有统一的时信号,采用应答方式工作。当各部件共走速度差异较大时多采用异步总线,传输时间可以根据需要能短则短,需长则长,因而时间利用率很高,但相应的控制较复杂。

准同步总线。这种总线实际上采用同步异步相结合的方法,在计算机系统中,总线周期包含若干时钟周期,但时钟周期数可根据需要,基本总线周期含有时钟的周期数最少(通常根据CPU方向内存的需要而定),当外部电路能在基本周期内完成总线传时。它实际上是按标准的同步方式工作。当某个部件印速度较低,而不能再基本周期内完成数据传送时,就发出一个“等待”信号,总线周期则按时钟周期为单位地延长,直至“等待”信号撤销,总线周期才告结束。这样,总线传送仍以时钟周期为同步定时信号,但每次包含的时钟数可以不同,它既有同步总线控制简单的优点,又具有异步总线时间利用率高的优点。

3.总线标准化

早期计算机生产厂家生产的计算机系统中,其总线只供自己和少数配套厂家使用,相互间缺乏互换性,阻碍了计算机的推广。随着微型计算机技术的发展和普及,对标准化的需求日益增强,许多厂家都采用了开放式策略,明确定义并公开总线标准,使其他厂家也能按此标准生产各种插件与配套产品。

下面介绍一些常用的总线标准

S-100,总线(IEEE696),适用于8080、Z80等微机(已经不用)

Multibus总线(IEEE796),这是由Intel公司开发的、适于多种CPU,可组成多机系统。现已发展为32位总线Multibus-2(IEEE1296)(已经不用)

Future bus(IEEE896.1),一种高速的32位总线。

VME总线(IEEE1000),由Motorola公司开发,在许多超级微机和工作站中采用。(也不再

用)

STD总线(IEEE961),由Prolog公司开发,广泛应用于工业控制领域。还有一种STE总线,与STD非常相似,被称为STD总线的欧洲版。

现在使用较多的是AT总线(16位,常称为工业标准AT总线,简称ISA)PCI总线等。

系统总线的结构

a 单总线结构(存储器和I/O统一编址,直接用MOV传送,但是在磁盘与存储器传输时,CPU只能等待,降低了CPU的使用率)

b带存储总线的双总线结构(解决单总线的问题)

c带DMA的三总线结构(存储器与高速外设有DMA接口,)

d带IOP的双总线结构(IOP是IO处理机)

e带Cache总线和桥接器的总线结构

f带高速总线的系统总线结构

2. 系统总线的组成

系统总线包括:数据总路线、地址总路线、控制总路线、和电源线

传输方式:无条件传送,查询传送,中断传送,DMA传送,通道传送。

1. 无条件传送控制端是开关、信号灯、继电器。

2. 查询方式(CPU在ns级,I/O在ms级。在接口设缓冲寄存器,而且工作时要查询I/O)

I/O设备有闲止、工作、结束三个状态。要设两个触发器。CB、CD 00、10、01

2.中断处理知识

1.中断的提出

程序查询方式虽然简单,但却存在着下列明显的缺点:

(1)在查询过程中,CPU长期处于踏步等待状态,是系统效率大大降低;

(2)CPU在一段时间内只能和一台外设交换信息,其他设备部能同时工作;

(3)不能发现和处理预先无法估计的错误和异常情况。

为了提高输入/输出能力和CPU的效率,20世纪50年代中期,程序中断方式被引进计算机系统。程序中断方式的思想是:

3. 中断的基本类型

(1)自愿中断和强迫中断

自愿中断又称程序自中断,它不是随机产生的中断,而是在程序中安排的有关指令,这些指令可以使机器进入中断处理的过程,如:指令系统中的软中断指令INT n 例如:MOV AH,4CH INT 21H

强迫中断就是随机产生的中断,不是程序中事先安排好的。当这种中断产生后,由中断系统强迫计算机中止现行程序并转入中断服务程序。

(2)程序中断和简单中断

程序中断就是我们前面提到的中断,主机在响应中断请求后,通过执行一段中断服务程序来

处理更紧迫的任务。

简单中断就是外设与主存间进行信息交换的方法,即DMA方式。这种中断不去执行中断服务程序,故不破坏现行程序的状态。

(3)向量中断和非向量中断

向量中断是指那些中断服务程序的入口地址是由中断事件自己提供的中断。中断事件在提出中断请求的同时,通过硬件向主机提供中断服务程序入口地址,即向量地址。

非向量中断的中断事件不能直接提供中断服务程序的入口地址。

(4)单重中断和多重中断

4. 程序中断控制的软硬件配置

(1)中断请求的提出与传递

中断请求

小型机:完成触发器,未屏蔽, INTR

微机:有请求信号,未屏蔽,发INTR、

软件查询

查询时速度快的级别高,级别高的先查询,低的后查询

利用右移判断,速度更快

硬件查询

不同查询线路,方式不同,

1. 具有独立请求线排队线路(每个外部设备一个排队线路,离CPU越近级别越高。)2. 具有公共请求线排队线路(在一条线路上连接的线路,有INTA,查询中断源,查哪个是申请的)

3.中断响应,

CPU响应中断的条件

1. 一条指令的结束

2. 无DMA申请

3. 至少有中断源申请

4. 开中断下

CPU响应中断后,进入中断周期,如下图通过中断隐指令,由硬件直接实现。

1. 关中断

2. 保存断点(返回地址)压栈

3. 形成中断向量表地址码,即向量地址送MAR。以便访问中断向量表。这一步骤有些计算机是由软件,(执行中断处程序中)实现的。

4. 形成中断程序入口。即访问中断向量表,从中读取对应的中断服务程序入口地址送入PC与MAR.在IT结束后进入取址周期(FT),执行中断服务程序。

中断响应与中断服务程序一般流程

(4)中断服务

中断控制器8259

由于中断向量表前几个单元被内部中断等占据,所以只能从0020H(#08H)开始,而外设从0号设备开始,经过8259后,通过转换得到响应设备码。得到设备码后, 乘4得到入口地址, 入口地址内所存即为地址偏移量和段基址

中断嵌套与中断屏蔽

当CPU正在执行一个中断源的中断处理程序时又发生了另一个中断请求。如果正在执行的中断处理程序中允许再响应其他中断请求,就会出现多重中断或称中断嵌套。CPU在进入某个中断源的中断处理程序前已在其中断响应周期中由硬件关闭了程序状态字PSW中的中

断允许位IF,即不允许中断。如果进入中断处理程序后,知道中断返回前,不再开放中断,,那么在该中断处理执行期间不会再响应其他任何屏蔽中断,哪怕这些中断源的中断优先级再高、再紧迫,CPU也不会理睬。这个中断处理程序就是不允许中断嵌套的中断处理。如果要使一个中断处理程序允许中断嵌套,应当在中断处理程序中开放中断(例如使用STI指令将IF置1),那么这个中断处理程序在中断处理执行期间就允许再被中断,即允许中断嵌套。

中断嵌套的关键是在中断处理程序中开放中断,并且靠堆栈的先进后出保证中断的逐级返回。

为了满足各中断源的轻重缓急,一般来说,当正在进行某个中断处理时,与它同级或比它优先级低的中断请求不能被响应,只有比它优先级高的中断请求才可能被响应。

线路:中断请求寄存器为1,中断屏蔽寄存器为0,能申请中断

中断屏蔽字:1.可以屏蔽本级和低级设备,2.可以改变优先级别

(6)中断返回

中断返回时由中断处理程序的最后一条指令(例如IRET)实现的,该指令的功能是将中断相应周期中保存于堆栈的PC值和PSW值恢复,然后开中断,这样又赚到了原来被中断的程序处继续工作,

总结:

从中断框图中得到,当执行完一条指令后,并不是立即下一条指令取址周期,而是要检查有无中断申请。

6.5直接存储器访问 (DMA)方式

所谓DMA方式就是直接存储器存取方式(Direct Memory Acess),也就是说它不像是前两种方式(程序查询和程序中福安方式)那样是通过CPU执行程序,将外设的数据送入内存,或将内存的数据送到外设输出,而是直接(不通过CPU)有接口硬件控制系统总线与内存进行数据交换。输入时由外设直接写入内存,输出时由内存送至外设。由于不再需要CPU 考指令来进行输入输出,当然也就不需要现场保护和现场恢复,也不需要CPU反复地开中断,关中断了,使得输入输出国策和那个花费得时间更好,对CPU的打扰也更少。

总结:开始由CPU发指令,一旦开始CPU 不再介入,传输结束后由CPU控制,采用中断方式可能丢码。

1. DMA方式的应用

DMA方式一般用于主存与高速I/O设备之间的数据交换,及其他与高速数据传送有关的场合。其用途主要有:

1用于磁盘、磁带、光盘等外存储设备接口,磁盘、磁带、光盘与主存间的数据交换以数据块为单位,子啊连续读写时,数据传输率很高,一般用DMA凡是控制数据传送,数据块传送完毕后,采用中断方式由CPU进行后处理。

2. 用于网络通信接口, 计算机网络通信传输率一般为几十MB/s,也常用DMA方式工作,当一帧(帧是以同步方式串行传送的一块数据)传送后,再以中断方式通知CPU进行相应处理。

3. 用于动态存储器刷新, 动态存储器DRAM也利用DMA方式完成那个刷新,每个一定时间(2ms)占用一个存取周期,对DRAM芯片的一行进行一次读一写操作,完成对该行的刷新。

4. 用于高速数据采集接口 在高速A/D采集、高速图像采集实时音频信号采集等应用中,数据传输率约从几百KB/s到几MB/s,一般也都采用DMA方式工作。

DMA过程

DMA工作过程包括:初始准备、DMA传送、技术处理三个接段

下面以磁盘读操作为例介绍DMA工作过程。

1.初始准备 在开始DMA传送之前,必须首先由CPU进行初始化工作,它包括以下几项主要内容

a由于在DMA传送结束后常以中断方式请求CPU进行后处理,所以在DMA初始化阶段应进行中断向量设置、允许中断等有关中断初始化工作

b设置一系列参数:将主存缓冲区或数据块的首址送入DMA接口主存地址指示器,将传送数据块长度送往接口块长计数器,将有关寻址信息如XX柱而XX判面XX扇区送入DMA接口的词磁盘地址寄存器,将启动命令及传送方向等控制信息送入接口命令/状态寄存器。(2)DMA传送 当CPU将启动命令写入磁盘接口中的命令寄存器后,磁盘变启动了。讲解磁盘: 寻道(寻找一个柱面)、寻找扇区(将一个此道分成若干个扇区)、选择盘面。位密度(内圈,沿切线方向) 道密度(沿法线方向) 格式化容量( 非格式化容量(大于格式化容量)

a磁盘与主存之间有接口缓冲器

bDMA相应,CPU接到DMA请求,当前内存周期操作结束后,将总线的输出端置成高阻态,发出DMA应答信号,将总线控制权交给DMA控制器。传输数据。

c结束处理 当数据块传送完毕后,由块常计数器为0作为触发信号,通知中断控制器产生

中断请求

1. DMA式特点

(1)CPU对DMA接口的控制权限于DMA的开始(参数设置和启动)和结束(中断处理),在整个DMA传送期间,均由DMA接口直接控制进行内存读写,DMA方式对CPU的打扰更少,并行性也就更好。

(2)CPU响应DMA请求只需暂停访问内存,让出总吸纳控制权。省去了中断方式中保护现场。恢复现场,开中断。关中断的王府操作,打绕的时间仅为一次内存存取周期,节省了CPU 的大量时间,使得CPu利用率得以提高。

7.5.2DMA的传送方式。

DMA方式的工作过程,实质是DMA接口与CPU交替访问内粗你的过程。根据DMA接口与CPU访问内存时间上的不同安排,又可将DMA分为以下三种传送方式

1. 周期挪用方式

子啊这种方式中,若没有DMA请求,CPU正常工作,按指令执行的要求需要访问内存就访问内存,一旦外设接口有DMA请求,CPU就要让出一个或几个存储周期供DMA接口访问内存。若CPU正赶上内部处理(比如处于乘、除指令的执行阶段)不需要访问内存,DMA传送占用内存不对CPU产生任何打扰;若CPU也赶上要访问内(比如要取指令、取操作数,或将运算结果写入内存等),这时就有了争访内存的问题。

为了尽量的减少这种针纺内存的冲突,某些机器采用了指令预取技术,吧争访内存的几率降到最低。优点是保证了DMA传送的前提下,又较好的发挥了CPU与主存的效率,因而得到了广泛应用。缺点是每一次DMA传送都伴随着一次DMA请求、DMA相应和两次内存访问权的转换(先由CPU转给DMA接口,后由DMA接口归还CPU),这种频繁的王府操作也会使得系统的效率下降。

2. CPU暂停访问方式

由于周期挪用方式中内存访问权的转换过于频繁,自然想到的是增加DMA接口中数据寄存器的容量,将之改为一个小容量的RAM存储器作为数据缓冲区,待RAM放慢(输入)或RAM已空(输出),发一次DMA请求,取得内存访问权后进行批量的数据传送。

3. 与CPU交替访存方式

这种方式是针对DMA接口与CPU争访主存的矛盾提出的又一解决问题的方案,其基本想

法是让两者交替互不冲突的访问主存

7.6通道控制方式

通道是一种专用控制器,它通过执行通道程序进行I/O操作的管理,为主机与I/O为主机与I/O设备提供一种数据传送通道,故称为通道

1. 字节多路通道

慢速设备两次数据传送间隔时间长;

键盘数十毫秒

打印机数毫秒

一台主机可连若干个通道,每个通道可通过I/O总线连多台慢速I/O设备。如果让一台慢速设备独占通道,其传输效率很低,所以选择以字节为单位,由各设备交叉地使用通道进行数据传送。

2. 选择通道

3. 数组多路通道

3.存储体系结构

1.内存储器

1.内存的基本概念

内存(内存储器)是微型计算机主机的组成部分,用来存放当前正在使用的或随时要使用的程序或数据。

CPU可以直接访问内存。

微机以字节为单位线性地组织内存储器,每个存储单元(一个字节)都有一个唯一的编号。24位地址总线可以提供的地址编号为224=16M字节。

内存储器按其工作特点分为:只读存储器ROM(Read-Only Memory)和随机存取存储器RAM (Random Access Memory)。

2.RAM的种类

①动态内存DRAM(Dynamic RAM):需要周期性地给电容充电(刷新)。这种存储器集成度较高、价格较低,但由于需要周期性地刷新,存取速度较慢。一种叫做SDRAM的新型DRAM,由于采用与系统时钟同步的技术,所以比DRAM快的多。当今,多数计算机用的都是SDRAM。

②静态内存SRAM(Static RAM):静态RAM是利用双稳态的触发器来存储"1"和"0" 的。"静态"的意思是指它不需要像DRAM那样经常刷新。所以,SRAM比任何形式的DRAM都快得多,也稳定得多。但SRAM的价格比DRAM贵得多,所以只用在特殊场合(如高速缓冲存储器Cache)。

3.内存条

内存集成电路比CPU芯片小一些,通常封装在一条形电路板上,俗称内存条。

4.高速缓冲存储器

为提高CPU的处理速度,当今计算机中大都配有高速缓冲存储器(cache),也称缓存,实际上是一种特殊的高速存储器。

缓存的存取速度比内存要快,所以就提高了处理速度。

多数现代计算机都配有两级缓存。

一级缓存也叫主缓存,或内部缓存,直接设计在CPU芯片内部。一级缓存容量很小,通常

在8KB ~ 64KB之间。

二级缓存也叫外部缓存,不在CPU内部而是独立的SRAM芯片,其速度比一级缓存稍慢,但容量较大,多在64KB ~ 2MB之间。人们讨论缓存时,通常是指外部缓存。

当CUP需要指令或数据时,实际检索存储器的顺序是:首先检索一级缓存,然后二级缓存,再往后是RAM。

5.只读存储器

只读存储器(Read Only Memory,缩写ROM)不能写入而只能读出数据,其中的信息是在制造时一次写入的。

只读存储器常用来存放固定不变、重复使用的程序、数据或信息,如存放汉字库、各种专用设备的控制程序等。最典型的是ROM BIOS(基本输入/输出系统),其中部分内容适用于启动计算机的指令,内容固定但每次开机时都要执行。存储在ROM中的指令叫微程序,这样

的ROM成为固件。

其他形式的只读存储器

①可编程只读存储器PROM(Programmable ROM):一种空白ROM,用户可按照自己的需要对其编程。输入PROM的指令叫作微码,一旦微码输入,PROM的功能就和普通ROM 一样,内容不能消除和改变。

②可擦除的可编程的只读存储器E-PROM(Erasable Programmable ROM),可以从计算机上取下来,用特殊的设备擦除其内容后重新编程。

③闪存(flash)ROM,它不像PROM、EPROM那样只能一次编程,而是可以电擦除,重新编程。闪存ROM常用于个人电脑、蜂窝电话、数字相机、个人数字助手等,其容量在1 ~ 40MB之间。

6.CMOS存储器

CMOS(Complementary metal oxide)互补金属氧化物半导体

计算机需要保存一些配置信息,如硬盘驱动器和键的类型;日期、时间以及其它启动计算机所需要的信息等。他们不需要频繁变化,又不能一成不变,需要时(升级或更换设备)要适当变化。

CMOS存储器可以满足这种要求。计算机关机后仍能维持其中存储的信息。COMS中的信息可以改变,比如计算机更换硬盘之后,可以通过执行CMOS配置程序与机器交互,更改CMOS中的信息。

2.外存储器

外存储器一般不直接与微处理器打交道,外存中的数据应先调入内存,再由微处理器进行处理。为了增加内存容量,方便读写操作,有时将硬盘的一部分当做内存使用,这就是虚拟内存。虚拟内存利用在硬盘上建立"交换文件"的方式,把部分应用程序(特别是己闲置的应用程序)所用到的内存空间搬到硬盘上去,以此来增加可使用的内存空间和弹性;当然,容量的增加是以牺牲速度为代价的。交换文件是暂时性的,应用程序执行完毕便自动删除。

软磁盘存储器有以下三部分组成:①软磁盘驱动器②软磁盘控制器③软磁盘片:3.5英寸和5.25英寸两种 3.5英寸软磁盘:

①磁道(track):从外向里编号为0 ~ 79,共80道。

②扇区(sector):在每个磁道上划分18个扇区,编号为0 ~ 17,共18个扇区。每个扇区的容量为512K个字节。

③簇(cluster):若干个扇区构成一个簇,它是磁盘存储分配的单位。

④格式化:用户对软盘的初始化操作,其包括磁道数、扇区数的划分以及软盘初始信息的写入。软盘容量的计算公式:80 18 512 2=1474560字节=1440K字节≈1.44MB 写保护口:若该口可以透光,则此盘处于写保护状态,即:只能读取信息,不能写入数据。反之,可读可

写。

4.数据的表示和运算

1、原码:带符号数据表示方法之一,一个符号位表示数据的正负,0代表正号,1代表符号,其余的代码表示数据的绝对值。

2、补码:带符号数据表示方法之一,正数的补码与原码相同;负数的补码是将二进制位按位取反后自阿最低位加1

3、反码:带符号数据表示方法之一,正数的反码与原码相同;负数的反码是将二进制位按位取反。

4、移码:带符号数据表示方法之一,符号位用1表示正号,0代表负号,其余为与补码相同。

5、阶码:在浮点数据编码中,表示小数点的位置的代码

6、尾数:在浮点数据编码中,表示数据有效值的代码。

7、上溢:指数据的绝对值太大,以致大于数据编码所能表示的数据范围。

8、下溢:指数据的绝对值太小,以致小于数据编码所能表示的数据范围。

9、Booth算法:一种带符号数乘法的方法,它采用相加和相减的操作计算补码数据的乘积。

10、海明距离:在信息编码中,两个合法代码对应位上编码不同的位数。

11、检错码:能够发现某些错误或具有自动纠错能力的数据编码。

12、纠错码:能够发现某些错误并具有自动纠错能力的数据编码。

13、海明码:一种常见的纠错码,呢观念检测出2位错误,并能纠正1位错误。

14、循环码:一种纠错码,其合法码字移动任意位后的结果仍然是一个合法码字。

15、桶形移位器:一种移位电路,具有移2位、移4位和移8位等功能。

16、半加器:半加器电路时只对两个输入数据位进行加法,输出一个结果位,不考虑数据的进位,也不产生进行输出的加法器电路。

17、阶码上溢:在浮点数中,当数据的绝对值太大,以至于大于阶码所能表示的数据,称为阶码上溢。

18、机器零:在浮点数据编码中,尾数部分为0时不论其阶码为何值,都看作是零值,称为机器零。

19、简叙奇偶校验码的构成规则:

(1)偶校验码的构成规则:所有信息位和单个校验位的模2加等于0,即每个码字(包括校验位)中1的数目为偶数。

(2)奇校验位的构成规则:所有信息位和单个校验位的模2加等于1,即每个码字(包括校验位)中1的数目为奇数。

20、浮点数乘除法运算的五个步骤是什么?

第1步、阶码的加减运算。

第2步、尾数的乘除法运算。

第3步、规格化。

第4步、对结果进行舍入。

第5步、检查结果是否溢出,即检查阶码是否溢出。

5.指令系统

1、助记符:汇编语言中采用的比较容易记忆的文字符号,表示指令中的操作码和操作数。

2、寻址方式:对指令的地址码进行编码,以得到操作数在存储器中地址的方式。

3、RISC:精简指令系统计算机。

4、CISC:复杂指令系统计算机。

5、相对转移:一种形成转移目标地址的方式,转移指令的目标指令地址是由PC寄存器的值加上一个偏移量形成的。

6、绝对转移:一种形成转移目标地址的方式,转移指令的目标指令地址是有效地址直接指定,与PC寄存器的内容有关。

7、条件转移:一种转移指令类型,根据计算机中的状态决定是否转移。

8、无条件转移:一种转移指令类型,不管状态如何,一律进行转移操作。

9、指令格式:计算机指令编码的格式。

10、指令字长度:一个指令中所包含的代码的位数。

11、相对寻址方式:操作数的寻址是程序计数器PC的值加上一个偏移量,因为访问的数据位

置时相对于指令的位置。因此称为相对寻址方式。

12、在计算机中常用的寻址方式有哪几种?

(1)立即数寻址(2)寄存器寻址(3)直接寻址(4)寄存器间接寻址(5)基址变址寻址。

13、指令系统:计算机中各种指令的集合称为指令系统,或指令集。

14、简叙RISC技术的主要特征。

(1)简化的指令系统(2)以寄存器——寄存器方式工作。

(3)指令一流水方式工作。(4)使用较多的通用寄存器一减少访存。

(5)委提高直立茎能够执行速度,绝大部分采用组合电路控制器实现,不用或少用微程序实现。(6)通过精心选择的指令系统,并采用软件手段,特别是优化变异技术,力求能高效的支持高级语言实现,生成优化的机器指令代码。

15、计算机的CPU具有哪些方面的基本功能?

(1)指令控制,控制指令的执行顺序,对程序运行的控制。

(2)操作控制,对指令的各个操作步骤,及指令内操作补助的控制。

(3)数据运算,对数据进行算术和逻辑运算,以实现按计算机指令所规定的功能。

(4)异常处理和中断处理,对CPUneibu出现的意外情况进行处理,如处理运算中的溢出等错误情况以及处理外部设备的服务请求等。

16、常见指令的类型包括:

(1)数据传送指令(2)算术运算指令(3)逻辑运算指令(4)程序流控制指令

(5)输入输出操作指令(6)堆栈操作指令(7)字符串处理指令(8)系统指令

17、地址码:指令中指定操作数地址的字段。

操作码:指令中指定操作类型的字段。

18、MIPS处理器的主要特征是:

(1)指令格式简单。只有三种指令格式,美中指令格式中的数据寻址方式是固定的。

(2)采用流水执行方式提高指令执行速度。

(3)使用32个通用寄存器。

(4)采用“比较与转移”指令,从而使比较和转移这两个动作在以太哦一条指令内便可完成,并不需要设置条件码。

6.中央处理器

中央处理器,即CPU,是运算器和控制器的合称。

1.CPU的功能

(1)程序控制:CPU通过执行指令来控制程序的执行顺序。

(2)操作控制:一条指令功能的实现需要若干操作信号来完成,CPU产生每条指令的操作信号并将其送往不同的部件,控制相应部件的操作。

(3)时序控制:CPU通过时序电路产生的时钟信号进行定时,以控制各种操作按指定时序

进行。

(4)数据处理:完成对数据的加工处理。

2.CPU的组成

微处理器是计算机的核心部件,包括运算器、控制器、寄存器组3大部分,一般被集成在一个大规模集成芯片上,具有计算、控制、数据传送、指令译码及执行等重要功能,它直接决

定了计算机的主要性能。各主要功能部件介绍如下。

(1)运算器

运算器主要完成算术运算、逻辑运算和移位操作,主要部件有算术逻辑单元ALU、累加器ACC、标志寄存器、寄存器组、多路转换器和数据总线等。

(2)控制器

控制器实现指令的读入、寄存、译码和在执行过程有序地发出控制信号。控制器主要由指令寄存器IR、程序计数器PC、指令译码器、状态/条件寄存器、时序产生器、微操作信号发生器组成。

程序计数器:当程序顺序执行时,每取出一条指令,程序计数器的内容自动增加一个值,指向下一条要取的指令。

指令寄存器:用于寄存当前正在执行的指令。

指令译码器:用于对当前指令进行译码。

状态/条件寄存器:用于保存指令执行完成后产生的条件码。另外还保存中断和系统工作状态等信息。

时序产生器:用于产生节拍电位和时序脉冲。

微操作信号发生器:根据指令提供操作信号,时序产生器提供时序信号。

(3)寄存器

寄存器用于暂存寻址和计算过程的信息。CPU中的寄存器通常分为存放数据的寄存器、存放地址的寄存器、存放控制信息的寄存器、存放状态信息的寄存器和其他寄存器等类型。

累加器:是一个数据寄存器,在运算过程中暂时存放被操作数和中间运算结果。

通用寄存器组:是CPU中的一组工作寄存器。运算时,用于暂存操作数或地址。

标志寄存器:也称状态寄存器,它用于记录运算中产生的标志信息。

指令寄存器:用于存放正在执行的指令。

地址寄存器:包括程序设计器、堆栈指示器、变址寄存器、段地址寄存器等。

其他寄存器:如用于程序调试的“调试寄存器”、用于存储管理的“描述符寄存器”等。

三、流水线技术

1.流水线技术原理

流水线技术把CPU的一个操作进一步分解成多个可以单独处理的子操作(如取指令、指令译码、取操作数、执行),使每个子操作在一个专门的硬件站上执行,这样一个操作需要顺序地经过流水线中多个站的处理才能完成。在执行的过程中,前后连续的几个操作可以依次流入

流水线中,在各个站间重叠执行。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组织与体系结构实验报告

《计算机组织与体系结构》 实验报告 学号: XXX 姓名:XXX 班级:XXX 指导教师:XXX 时间: 2013年01月 中国矿业大学计算机学院

目录 一基本运算器实验 (2) 1、实验目的 (2) 2、实验设备 (2) 3、实验原理 (2) 4、实验步骤 (3) 5、实验结果 (5) 5、实验体会 (5) 二微程序控制实验 (6) 1、实验目的 (6) 2、实验设备 (6) 3、实验原理 (6) 4、实验步骤 (12) 5、实验体会 (13) 三CPU与简单模型机设计实验 (13) 1、实验目的 (13) 2、实验设备 (13) 3、实验原理 (13) 4、实验步骤 (18) 5、实验流图 (21) 6、实验体会 (25)

实验一基本运算器实验 1. 实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 2. 实验设备 PC机一台,TD-CMA实验系统一套。 3.实验原理 本实验的原理如下图所示: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片FPGA中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机组成与结构

第1章计算机组成与体系结构 根据考试大纲,本章内容要求考生掌握3个知识点。 (1)构成计算机的各类部件的功能及其相互关系; (2)各种体系结构的特点与应用(SMP、MPP); (3)计算机体系结构的发展。 1.1 计算机体系结构的发展 冯·诺依曼等人于1946年提出了一个完整的现代计算机雏形,它由运算器、控制器、存储器和输入/输出设备组成。现代的计算机系统结构与冯·诺依曼等人当时提出的计算机系统结构相比,已发生了重大变化,虽然就其结构原理来说,占有主流地位的仍是以存储程序原理为基础的冯·诺依曼型计算机,但是,计算机系统结构有了许多改进,主要包括以下几个方面。 (1)计算机系统结构从基于串行算法改变为适应并行算法,从而出现了向量计算机、并行计算机、多处理机等。 (2)高级语言与机器语言的语义距离缩小,从而出现了面向高级语言机器和执行高级语言机器。 (3)硬件子系统与操作系统和数据库管理系统软件相适应,从而出现了面向对象操作系统机器和数据库计算机等。 (4)计算机系统结构从传统的指令驱动型改变为数据驱动型和需求驱动型,从而出现了数据流计算机和归约机。 (5)为了适应特定应用环境而出现了各种专用计算机。 (6)为了获得高可靠性而研制容错计算机。 (7)计算机系统功能分散化、专业化,从而出现了各种功能分布计算机,这类计算机包括外围处理机、通信处理机等。 (8)出现了与大规模、超大规模集成电路相适应的计算机系统结构。 (9)出现了处理非数值化信息的智能计算机。例如自然语言、声音、图形和图像处理等。 1.2 构成计算机的各类部件的功能及其相互关系 计算机由控制器、运算器、存储器、输入设备和输出设备组成。

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理选择题及答案

1. 冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是() A. 指令操作码的译码结果 B. 指令和数据的寻址方式 C. 指令周期的不同阶段 D. 指令和数据所在的存储单元 答案为:C 2. 假定变量i,f,d数据类型分别为int, float, double(int用补码表示,float和double用IEEE754单精度和双精度浮点数据格式表示),已知i=785,f=1.5678e3 ,d=1.5e100,若在32位机器中执行下列关系表达式,则结果为真的是() (I) i==(int)(float)i (II)f==(float)(int)f (III)f==(float)(double)f (IV)(d+f)-d==f A. 仅I和II B. 仅I和III C. 仅II和III D. 仅III和IV 答案B 3.一个C语言程序在一台32位机器上运行。程序中定义了三个变量x,y和z,其中x和z 是int型,y为short型。当x=127,y=-9时,执行赋值语句z=x+y 后,x、y和z的值分别是: A x=0000007FH , y=FFF9H , z=00000076H B x=0000007FH , y=FFF9H , z=FFFF0076H C x=0000007FH , y=FFF7H , z=FFFF0076H D x=0000007FH , y=FFF7H , z=00000076H 答案D 4. 某计算机主存容量为64KB,其中ROM区为4KB,其余为RAM区,按字节编址,现要用2K×8位的ROM芯片和4K×4位的RAM芯片来设计该存储 器,则需要上述规格的ROM芯片数和RAM芯片数分别是() A . 1、15 B . 2、15 C . 1、30 D . 2、30 答案D 5. 假定用若干个2K×4位芯片组成一个8K×8位的存储器,则地址0B1FH所在芯片的最小地址是() A. 0000H B. 0600H C. 0700H D. 0800H 答案D

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理选择题200道

【选择题200道】 1. 计算机系统中的存贮器系统是指__D____。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 2. 某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小 数为___ B __ 。 -32 -31 -32 -31 A+( 1 - 2 ) B + (1 - 2 ) C 2 D 2 3. 算术/ 逻辑运算单元74181ALU可完成_C ________ 。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4. 存储单元是指_B ______ 。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5. 相联存贮器是按—C―行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方 式 D 地址方式与堆栈方式 6. 变址寻址方式中,操作数的有效地址等于_C _____ 。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7. 以下叙述中正确描述的句子是:__AD ___ 。 A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 & 计算机使用总线结构的主要优点是便于实现积木化,同时_C_____ 。

计算机组成与系统结构常见选择题

一、选择题(50分,每题2分,正确答案可能不只一个,可单选或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯诺伊曼、存储程序)体系结构的计算机把程序及其操作数据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 年开始出现的第二代计算机,使用(晶体管)作为电子器件。 年代中期开始出现的第三代计算机,使用(小规模集成电路、中规模集成电路)作为电子器件。 年代开始出现的第四代计算机,使用(大规模集成电路、超大规模集成电路)作为电子器件。 存储器在产生替换时,可以采用以下替换算法:(LFU算法、LRU算法、随机替换)。 的功能由(硬件)实现,因而对程序员是透明的。 是介于CPU和(主存、内存)之间的小容量存储器,能高速地向CPU提供指令和数据,从而加快程序的执行速度。 由高速的(SRAM)组成。 的基本功能包括(程序控制、操作控制、时间控制、数据加工)。的控制方式通常分为:(同步控制方式、异步控制方式、联合控制方式)反映了时序信号的定时方式。 的联合控制方式的设计思想是:(在功能部件内部采用同步控制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 的同步控制方式有时又称为(固定时序控制方式、无应答控制方式)。 的异步控制方式有时又称为(可变时序控制方式、应答控制方式)。

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成与体系结构复习大纲2016

《计算机组成与系统结构》考试大纲 第1章计算机系统概论 本章的学习目的:初步了解计算机系统的组成和计算机的工作过程,掌握常用的概念、名词术语,为以后各章的学习打下基础。 本章要掌握的主要内容: 1.计算机系统是由硬件和软件两大部分组成的,硬件是物质基础,软件是解题的灵魂。弄清硬件和软件的概念。 2.计算机硬件系统所包含的主要部分,各部分的功能及其组成框图。 3.计算机的工作过程,主要是周而复始地取出指令、解释指令和执行指令的过程。而指令周期是指取出指令和执行指令所需的时间。它包括取出指令、解释指令和执行指令两个阶段。 4.冯·诺依曼计算机的设计思想是采用二进制表示各种信息以及存储程序和程序控制。存储程序的概念是将解题程序(连同必须的原始数据)预先存入存储器;程序控制是指控制器依据所存储的程序控制全机自动、协调地完成解题任务。存储程序和程序控制统称为存储程序控制。它是电子数字计算机与其他计算工具的最大区别,是电子计算机之所以能高速进行大量计算工作的基础。 5.控制器和运算器合称为中央处理器CPU,当前CPU芯片还集成有存储管理部件、Cache等;CPU和内存储器合称为计算机主机。 6.指令字和数据均以二进制代码的形式存入存储器,计算机是如何区分出指令和数据的。 7.计算机系统的主要性能指标:字长、存储容量、运算速度等。 8.计算机的运算速度是指它每秒钟执行指令的条数。单位是MIPS(百万条指令每秒) ∑=? = n i i i m t f V 1 1 式中,n—指令的种类 f i —第i种指令在程序中出现的频度(%) t i —第i种指令的指令周期 9.计算机系统按功能划分,通常为五级的层次结构:依次是微程序设计级、一般机器级、操作系统级、汇编语言级和高级语言级,每一级都可进行程序设计。 10.软件和硬件在逻辑功能的等效性及其例子。 11.本章主要的术语及概念:

计算机组成原理重点难点习题解答

计算机组成原理复习题 一.单项选择题 1.计算机中的主机包含( A )。 A.运算器、控制器、存储器 B.运算器、控制器、外存储器 C.控制器、内存储器、外存储器 D.运算器、内存储器、外存储器 2.二进制数10010010,相应的十进制数是(B)(128+16+2=146) A.136 B.146 C.145 D.144 3.要使8位寄存器A中高4位变0,低4位不变,可使用(A)。逻辑乘 A. A∧0FH→A B.A∨0FH→A C. A∧F0H→A D. A∨F0H→A 4.在计算机内部用于汉字存储处理的代码是(B) A.汉字输入码 B.汉字内码 C.汉字字型码 D.汉字交换码 5.转移指令执行时,只要将转移地址送入( C.程序计数器)中即可 A.地址寄存器 B.指令寄存器 C.程序计数器 D.变址寄存器 6.设机器中存有代码10100011B,若视为移码,它所代表的十进制数为( B.35)。 A.-23 B.35 C.53 D-113 7.将(-25.25)十进制数转换成浮点数规格化(用补码表示),其中阶符、阶码共4位,数符、尾数共8位,其结果 为( B.0101,10011011 ) A.0011,10010100 B.0101,10011011 C.0011,1110 D.0101,1100101 8.(2000)10化成十六进制数是( B.(7D0)16)。

A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7FO)16 9. 下列数中最大的数是((10011001)2=153 )。 A.(10011001)2 B.(227)8C。(98)16 D.(152)10 10. ( D. 移码)表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 11. 在小型或微型计算机里,普遍采用的字符编码是( D. ASCⅡ码)。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 12. 下列有关运算器的描述中,(D. 既做算术运算,又做逻辑运算)是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 13.控制存储器存放的是(C.微程序)。 A.微程序和数据 B.机器指令和数据 C.微程序 D.机器指令 14. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为( B. 立即寻址)。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 15. 下面描述的RISC机器基本概念中正确的表达是( B. RISC机器一定是流水CPU)。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统 D. CPU配备很少的通用寄存器 16. 系统总线中地址线的功能是(D. 用于指定主存和I/O设备接口电路的地址)。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备

计算机组成原理选择题

A.-127 ~127;B.-128 ~+128;C.-128 ~+127;D.-128 ~+128。 2.设机器数采用补码形式(含1位符号位),若寄存器内容为9BH,则对应的十进制数为______。A.-27;B.-97;C.-101;D.155。答案: 3.设寄存器内容为80H,若它对应的真值是–127,则该机器数是______。 A.原码;B.补码;C.反码;D.移码。答案: 4.若9BH表示移码(含1位符号位).其对应的十进制数是______。 A.27;B.-27;C.-101;D.101。答案: 5.当定点运算发生溢出时,应______ 。 A.向左规格化;B.向右规格化;C.发出出错信息;D.舍入处理。答案: 6.设寄存器内容为10000000,若它等于-0,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 7.设寄存器内容为11111111,若它等于+127,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 8.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同;B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同;D.阶符与数符不同。答案: 9.浮点数的表示范围和精度取决于______ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。答案: 10. 在定点补码运算器中,若采用双符号位,当______时表示结果溢出。 A.双符号相同B.双符号不同C.两个正数相加D.两个负数相加答案:

《_计算机组成与系统结构》考试试卷

学试卷 院(系、部) 专业 班级 姓名 学号 …… .… … … … … …… … … … … .密… … … … … … … … … …… … … … … 封 … … … … …… . . …… … … … ……. . 线… … … … … … … … … … … … … … . . 计算机组成与系统结构考试试卷 一. 填空题 (填空每空1分,共10分;选择填空每空2分,共20分) 1.计算机系统中的存贮器系统是指___D ___。 A RAM 存贮器 B ROM 存贮器 C 主存贮器 D cache 、主存贮器和外存贮器 2.某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小数为___B ___。 A +(1 – 2-32) B +(1 – 2-31) C 2-32 D 2-31 3.算术 / 逻辑运算单元74181ALU 可完成___C ___。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4.存储单元是指___B ___。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5.相联存贮器是按___C ___进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 6.变址寻址方式中,操作数的有效地址等于___C ___。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7.以下叙述中正确描述的句子是:___D ___。 A 同一个CPU 周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU 周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU 周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU 周期中,不可以并行执行的微操作叫相斥性微操作 8.计算机使用总线结构的主要优点是便于实现积木化,同时___C ___。 A 减少了信息传输量 B 提高了信息传输的速度 C 减少了信息传输线的条数

计算机组成原理期末复习知识要点

第一章 1)冯.诺依曼主要三个思想是什么? (1)计算机处理采用二进制或二进制代码 (2)存储程序 (3)硬件五大部分:输入设备、输出设备、存储器、运算器和控制器 2)计算机硬件由哪5部分组成? 输入设备、输出设备、存储器、运算器和控制器 3)VLSI中文的意思是什么? 超大规模集成电路 4)列举出三个计算机应用领域? 1.科学技术计算2.数据信息处理3.计算机控制 4.计算机辅助技术5.家庭电脑化 5)计算机系统分哪两大系统? 硬件和软件系统 6)计算机内部信息包括哪两大信息? 计算机中有两种信息流动:一是控制信息,即操作命令,其发源地为控制器;另一种是数据流,它受控制信息的控制,从一部件流向另一部件,边流动边加工处理。 7)计算机性能主要包括哪三个主要性能? (1)基本字长: 是参与运算的数的基本长度,用二进制数位的长短来衡量,取决寄存器、加法器、数据总线等部件的位数。 (2)主存容量:可以用字节,有的用字长,K、M、G、T (3)运算速度: 是每秒能执行的指令条数来表示,单位是条/秒。(MIPS) 8)现代计算机系统分为五个层次级别是如何划分的? 从功能上,可把现代计算机系统分为五个层次级别: 第一级是微程序设计级:是硬件级 第二级是一般机器级:机器语言级 第三级是操作系统级:是操作系统程序实现。(混合级) 第四级是汇编语言级:一种符号形式语言。 第五级是高级语言级 9)机器数是指什么?它主要是解决了数值的什么表示? 10)机器数有哪4种表示方法? 原码表示法、补码表示法、和移码表示法四种。 11)计算机数值有哪两种表示方式?它主要解决了数值的什么表示? 定点表示和浮点表示。主要解决数中小数点的位置的确定。 12)浮点数在计算机内部表示两种方式是如何安排的? 13)尾数是补码表示其规格化如何表示? 正数:0.1×…×的形式负数:1.0×…×的形式 14)解释计算机内部数值0和字符0有何不同? 数值0在计算机中为00H,而字符0为其ASCII码30H。 15)计算机如何判断加法溢出的? 当运算结果超出机器所能表示的数域范围时,称为溢出。 判别方法有:符号位判别法、进位判别法、双符号位判别法。 16)半加器与全加器有什么不同?

计算机组成原理练习题

填空题 1、存储器容量为256K,若首地址为00000H,则末地址为。 2、若某奇偶校验码编码为010000100,则采用的校验方案是。 3、DRAM存储器行、列地址要分两次打入,为了实现行、列地址的区分,需要给存储芯片提供地址选通信号和。 4、存储器容量的扩展有、和三种方式。 5、假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次,则Cache的命中率是。 6、操作数有效地址出现在地址码位置的寻址方式称为寻址。 7、任何指令周期的第一步必定是周期。 8、当产生中断请求时,用程序方式有选择地封锁部分中断,而允许其余部分中断仍能得到响应,称为。 9、通常根据流水线使用级别的不同,可把流水线分成部件级、处理机级和系统级流水线,指令处理流水线属于级。 10、从计算机系统结构的发展和演变看,近代计算机采用以作为全机中心的系统结构。 11、十进制数-54表示成补码形式为(用1个符号位,7个数值位表示)。 12、磁表面存储器是以作为记录信息的载体,通过对信息进行记录和读取。 13、存储器间接寻址方式指令执行过程中,除取指外CPU还需要访问内存次才能获得操作数。 14、组成32M×8位的存储器,需要1M×4位的存储芯片片。 15、微指令格式分为型微指令和型微指令,其中,前者的并行操作能力比后者强。 16、在CPU中,存放后继指令地址的寄存器是。 17、若X的原码为01000011,其补码为,其移码为。 18、总线的仲裁方式有和两种。 19、引起中断的设备或事件称为。 20、虚拟存储器指的是__________层次,它给用户提供了一个比实际__________空间大得多的__________空间. 21、运算器的两个主要功能是:__________,__________。 22、计算机硬件由_______、_______、存储器、输入设备和输出设备五大部件组成。 23、奇偶校验法只能发现_______数个错,不能检查无错或_______数个错。 24、八进制数37.4Q转换成二进制数为__________。 25、数x的真值-0.1011B,其原码表示为____________。 26、条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于__________类指令,这类指令在指令格式中所表示的地址不是__________的地址,而是__________的地址。27、直接内存访问(DMA)方式中,DMA控制器从CPU完全接管对__________的控制,数据交换不经过CPU,而直接在内存和__________之间进行。 28、RISC的中文含义是__________,CISC的中文含义是__________。 对于n+1位(包含一位符号位)的补码纯小数来说,它能表示的最小数据是。 29、、控制器产生控制信号的方法有与,其中需要有控制存储器支持的是。

计算机组成与系统结构课后答案全

第 1 章习题答案 5.若有两个基准测试程序P1和P2在机器M1和M2上运行,假定M1和M2的价格分别是5000元和8000元,下表给出了P1和P2在M1和M2上所花的时间和指令条数。 请回答下列问题: (1)对于P1,哪台机器的速度快?快多少?对于P2呢? (2)在M1上执行P1和P2的速度分别是多少MIPS?在M2上的执行速度又各是多少?从执行速度来看,对于P2,哪台机器的速度快?快多少? (3)假定M1和M2的时钟频率各是800MHz和,则在M1和M2上执行P1时的平均时钟周期数CPI各是多少? (4)如果某个用户需要大量使用程序P1,并且该用户主要关心系统的响应时间而不是吞吐率,那么,该用户需要大批购进机器时,应该选择M1还是M2?为什么?(提示:从性价比上考虑)(5)如果另一个用户也需要购进大批机器,但该用户使用P1和P2一样多,主要关心的也是响应时间,那么,应该选择M1还是M2?为什么? 参考答案: (1)对于P1,M2比M1快一倍;对于P2,M1比M2快一倍。 (2)对于M1,P1的速度为:200M/10=20MIPS;P2为300k/=100MIPS。 对于M2,P1的速度为:150M/5=30MIPS;P2为420k/=70MIPS。 从执行速度来看,对于P2,因为100/70=倍,所以M1比M2快倍。 (3)在M1上执行P1时的平均时钟周期数CPI为:10×800M/(200×106)=40。 在M2上执行P1时的平均时钟周期数CPI为:5×(150×106)=40。 (4)考虑运行P1时M1和M2的性价比,因为该用户主要关心系统的响应时间,所以性价比中的性能应考虑执行时间,其性能为执行时间的倒数。故性价比R为: R=1/(执行时间×价格) R越大说明性价比越高,也即,“执行时间×价格”的值越小,则性价比越高。 因为10×5000 > 5×8000,所以,M2的性价比高。应选择M2。 (5)P1和P2需要同等考虑,性能有多种方式:执行时间总和、算术平均、几何平均。 若用算术平均方式,则:因为(10+/2×5000 > (5+/2×8000,所以M2的性价比高,应选择M2。 若用几何平均方式,则:因为sqrt(10× ×5000 < sqrt(5××8000,所以M1的性价比高,应选择M1。 6.若机器M1和M2具有相同的指令集,其时钟频率分别为1GHz和。在指令集中有五种不同类型的指令 请回答下列问题: (1)M1和M2的峰值MIPS各是多少? (2)假定某程序P的指令序列中,五类指令具有完全相同的指令条数,则程序P在M1和M2上运行时,哪台机器更快?快多少?在M1和M2上执行程序P时的平均时钟周期数CPI各是多少?

计算机组成原理重点整理(白中英版) 考试必备

一、 浮点存储: 1.若浮点数x 的754标准存储格式为(41360000)16,求其浮点数的十进制数值。 解:将16进制数展开后,可得二制数格式为 0 100 00010 011 0110 0000 0000 0000 0000 S 阶码(8位) 尾数(23位) 指数e=阶码-127=10000010-01111111=00000011=(3)10 包括隐藏位1的尾数 1.M=1.011 0110 0000 0000 0000 0000=1.011011 于是有 x=(-1)S×1.M×2e=+(1.011011)×23=+1011.011=(11.375)10 2. 将数(20.59375)10转换成754标准的32位浮点数的二进制存储格式。 解:首先分别将整数和分数部分转换成二进制数: 20.59375=10100.10011 然后移动小数点,使其在第1,2位之间 10100.10011=1.010010011×24 e=4于是得到: S=0, E=4+127=131, M=010010011 最后得到32位浮点数的二进制存储格式为: 01000001101001001100000000000000=(41A4C000)16 3. 假设由S ,E ,M 三个域组成的一个32位二进制字所表示的非零规格化 浮点数x,真值表示为(非IEEE754标准):x=(-1)s ×(1.M )×2E - 128 问:它所表示的规格化的最大正数、最小正数、最大负数、最小负数是多少? (1)最大正数 0 1111 1111 111 1111 1111 1111 1111 1111 x=[1+(1-2-23)]×2127 (2)最小正数 000 000 000000 000 000 000 000 000 000 00 x=1.0×2- 128 (3)最小负数 111 111 111111 111 111 111 111 111 111 11 x=-[1+(1-2- 23)]×2127 (4)最大负数 100 000 000000 000 000 000 000 000 000 00 x=-1.0×2- 128 4.用源码阵列乘法器、补码阵列乘法器分别计算xXy 。 (1)x=11000 y=11111 (2) x=-01011 y=11001 (1)原码阵列 x = 0.11011, y = -0.11111 符号位: x 0⊕y 0 = 0⊕1 = 1 [x*y] 原 = 1, 11 0100 0101 带求补器的补码阵列 [x]补 = 0 11011, [y]补 = 1 00001 乘积符号位单独运算0⊕1=1 X ×Y =-0.1101000101 (2) 原码阵列 x = -0.11111, y = -0.11011 符号位: x 0⊕y 0 = 1⊕1 = 0 [x*y]补 = 0,11010,00101 带求补器的补码阵列 [x]补 = 1 00001, [y]补 = 1 00101 乘积符号位单独运算1⊕1=0 尾数部分算前求补输出│X │=11111,│y │=11011 X ×Y =0.1101000101 5. 计算浮点数x+y 、x-y x = 2-101*(-0.010110), y = 2-100*0.010110 [x]浮= 11011,-0.010110 [y]浮= 11100,0.010110 Ex-Ey = 11011+00100 = 11111 规格化处理: 0.101100 阶码 11010 x+y= 0.101100*2-6 规格化处理: 1.011111 阶码 11100 x-y=-0.100001*2-4 6. 设过程段 S i 所需的时间为τi ,缓冲寄存器的延时为τl ,线性流水线的时钟周期定义为 τ=max{τi }+τl =τm +τl 流水线处理的频率为 f =1/τ。 ● 一个具有k 级过程段的流水线处理 n 个任务需要的时钟周 期数为T k =k +(n -1), 所需要的时间为: T =T k × τ 而同时,顺序完成的时间为:T =n ×k ×τ ● k 级线性流水线的加速比: *C k = TL = n·k Tk k +(n -1) 二、 内部存储器 *闪存:高性能、低功耗、高可靠性以及移动性 编程操作:实际上是写操作。所有存储元的原始状态均处“1” 状态,这是因为擦除操作时控制栅不加正电压。编程操作的目的是为存储元的浮空栅补充电子,从而使存储元改写成“0”状态。如果某存储元仍保持“1”状态,则控制栅就不加正电压。如图(a)表示编程操作时存储元写0、写1的情况。实际上编程时只写0,不写1,因为存储元擦除后原始状态全为1。要写0,就是要在控制栅C 上加正电压。一旦存储元被编程,存储的数据可保持100年之久而无需外电源。 读取操作:控制栅加上正电压。浮空栅上的负电荷量将决定 是否可以开启MOS 晶体管。如果存储元原存1,可认为浮空栅不带负电,控制栅上的正电压足以开启晶体管。如果存储元原存0,可认为浮空栅带负电,控制栅上的正电压不足以克服浮动栅上的负电量,晶体管不能开启导通。当MOS 晶体管开启导通时,电源VD 提供从漏极D 到源极S 的电流。读出电路检测到有电流,表示存储元中存1,若读出电路检测到无电流,表示存储元中存0,如图(b)所示。 擦除操作:所有的存储元中浮空栅上的负电荷要全部洩放出 去。为此晶体管源极S 加上正电压,这与编程操作正好相反,见图(c)所示。源极S 上的正电压吸收浮空栅中的电子,从而使全部存储元变成1状态。 *cache :设存储器容量为32字,字长64位,模块数m=4,分别用顺序方式和交叉方式进行组织。存储周期T=200ns ,数据总线宽度为64位,总线传送周期=50ns 。若连续读出4个字,问顺序存储器和交叉存储器的带宽各是多少? 解:顺序存储器和交叉存储器连续读出m=4个字的信息总量 都是: q=64b×4=256b 顺序存储器和交叉存储器连续读出4个字所需的时间分别 是: t2=mT=4×200ns=800ns=8×10-7s t1=T+(m-1)=200ns+350ns=350ns=35×10-7s 顺序存储器和交叉存储器的带宽分别是: W2=q/t2=256b÷(8×10-7)s=320Mb/s W1=q/t1=256b÷(35×10-7)s=730Mb/s *CPU 执行一段程序时,cache 完成存取的次数为1900次, 主存完成存取的次数为100次,已知cache 存取周期为50ns ,主存存取周期为250ns ,求cache/主存系统的效率和平均访问时间。 解: h=Nc/(Nc+Nm )=1900/(1900+100)=0.95 r=tm/tc=250ns/50ns=5 e=1/(r+(1-r)h)=1/(5+(1-5)×0.95=83.3% ta=tc/e=50ns/0.833=60ns *存储器:已知某64位机主存采用半导体存储器,其地址码为26位,若 使用256K×16位的DRAM 芯片组成该机所允许的最大主存空间,并选用模块板结构形式,问: (1) 每个模块板为1024K×64位,共需几个模块板? (2) 个模块板内共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片? CPU 如何选择各模块板? (1)个模块 64264 *264 *2620 26 == (2) 1616 *2*264 *28 1020= 每个模块要16个DRAM 芯片 (3)64*16 = 1024块 由高位地址选模块 *用16K×8位的DRAM 芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)根据题意,存储总容量为64KB ,故地址总线需16位。现使用16K*8位DRAM 芯片,共需16片。芯片本身地址线占14位,所以采用位并联与地址串联相结合的方法来组成整个存储器,其组成逻辑图如图所示,其中使用一片2:4译码器。 (2)根据已知条件,CPU 在1us 内至少访存一次,而整个存储器的平均读/写周期为0.5us ,如果采用集中刷新,有64us 的死时间,肯定不行如果采用分散刷新,则每1us 只能访存一次,也不行所以采用异步式刷新方式。假定16K*1位的DRAM 芯片用128*128矩阵存储元构成,刷新时只对128行进行异步方式刷新,则刷新间隔为2ms/128 = 15.6us ,可取刷新信号周期15us 。刷新一遍所用时间=15us ×128=1.92ms

相关主题