搜档网
当前位置:搜档网 › 浙江省电子政务云建设实施方案

浙江省电子政务云建设实施方案

浙江省电子政务云建设实施方案
浙江省电子政务云建设实施方案

浙江省电子政务云建设方案——暨省网上政务大厅基础设施建设方案

编制单位:浙江省人民政府办公厅电子政务处编制人员:

审核人员:

审批人员:

编制日期:

目录

目录....................................................................................... I 第一章项目概述 (1)

1.1项目名称 (1)

1.2项目建设单位及负责人 (1)

1.3编制单位 (1)

1.4编制依据 (1)

1.5建设目标、规模、内容、建设期 (2)

1.6总投资及资金来源 (2)

1.7效益及风险 (2)

第二章项目建设单位概况 (4)

2.1项目建设单位与职能 (4)

2.2项目实施机构与职责 (5)

第三章项目背景与需求分析 (6)

3.1背景与现状 (6)

3.2存在的问题 (7)

3.3需求分析 (8)

3.4系统功能指标 (9)

3.5信息量指标 (9)

3.6系统性能指标 (10)

第四章总体建设方案 (12)

4.1指导思想 (12)

4.2总体设计原则 (12)

4.3总体目标与分期目标 (13)

4.4总体建设任务与分期建设内容 (14)

4.5系统总体结构和逻辑结构 (14)

第五章详细设计方案 (19)

5.1物理资源层 (20)

5.2资源抽象与控制层 (22)

5.3政务云服务层 (23)

5.4政务云网络 (32)

5.5安全系统设计 (37)

5.6备份系统设计 (49)

5.7运行维护系统设计 (50)

5.8应急响应 (53)

5.9系统配置及软硬件选型原则 (53)

5.10系统软硬件配置清单 (56)

5.11服务交付以及报价模式 (58)

第六章项目建设与运行管理 (59)

6.1领导和管理机构 (59)

6.2项目实施机构 (59)

6.3运行维护机构 (59)

6.4项目招标方案 (59)

6.5项目进度、质量、资金管理方案 (59)

第七章人员配置与培训 (61)

7.1人员配置计划 (61)

7.2人员培训方案 (61)

第八章项目实施进度 (63)

8.1项目建设期 (63)

8.2实施进度计划 (63)

第九章初步设计概算 (65)

9.1初步设计方案和投资概算编制说明 (65)

9.2初步设计投资概算书 (65)

9.3资金筹措及投资计划 (67)

第十章风险及效益分析 (68)

10.1风险分析及对策 (68)

10.2效益分析 (70)

图 1 全省政务云总体架构图 (16)

图 2 政务云平台体系结构图 (17)

图 3 省政务云架构图 (19)

图 4 物理组网示意图 (21)

图5云管理平台架构图 (30)

图 6 云业务流程示意图 (31)

图7 省市县三级政务云网络拓扑示意图 (34)

图8 省政务云物理连接示意图 (35)

图9 省政务云MPLS-VPN骨干网结构图 (36)

图10省政务云安全体系框架图 (38)

图11云网络物理安全部署图 (39)

表 1 云平台初期选用服务器配置表 (25)

表2 云主机典型应用配置表 (26)

表3 MySQL云数据库配置表 (28)

表 4 各市OSPF area号 (37)

表5系统软硬件配置清单 (56)

表6云服务清单 (57)

表6省级政务云平台投资概算表 (65)

第一章项目概述

1.1项目名称

本项目名称为浙江省电子政务云(以下简称:省政务云)暨省网上政务大厅基础设施建设项目。

1.2项目建设单位及负责人

本项目建设单位为浙江省人民政府办公厅,负责人为浙江省政府副秘书长、省政府办公厅主任王晓峰。

1.3编制单位

本项目编制单位为浙江省人民政府办公厅电子政务处。

1.4编制依据

本项目编制依据如下:

1.《国家电子政务工程建设项目管理暂行办法》(国家发展和改革委员会令第55号);

2.GB/T 22239-2008《信息系统安全等级保护基本要求》;

3.GB/T 20988-2007《信息安全技术信息系统灾难恢复规范》;

4.YD/T 1171-2001《IP网络技术要求—网络性能参数与指标》;

5.GB 50174-2008 《电子信息系统机房设计规范》;

6.《浙江省人民政府办公厅关于印发<浙江省网上政务大厅建设工作方案>的通知》(浙政办发〔2014〕10号);

7.《浙江省电子政务网络技术规范》;

8.《浙江省电子政务网络安全技术规范》;

9.《浙江省网络及信息安全应急预案》;

10.《浙江省电子政务云、安全及运维体系规范》。

1.5建设目标、规模、内容、建设期

本项目建设目标为建设全省统一的电子政务云,规模包括省、市两级,建设内容主要为政务云平台、云网络、云安全、灾备和运维等系统,建设期为2014年1月至2015年6月。

1.6总投资及资金来源

本项目总投资为534.6万元,资金来源为财政资金。

1.7效益及风险

1.经济效益

本项目通过云计算技术,推动全省各级部门的计算、存储、网络、数据灾备、安全保障、运维服务等基础设施共享共用,可以节约资源,减少能耗,降低全省电子政务建设和运行维护成本。

2.社会效益

本项目将有效支撑省网上政务大厅和其他业务系统运行,实现省市县各级政务资源的共享和审批协同,方便了公民和企业办事,提高了政府监管能力和服务水平。

3.项目风险

本项目任务重、时间紧,项目进度存在一定风险;政务云与业务系统之间缺少磨合时间,老系统向云平台迁移存在风险。

第二章项目建设单位概况

2.1项目建设单位与职能

本项目建设单位为浙江省政府办公厅,是协助省政府领导处理省政府日常工作的机构。主要职责是:

协助省政府领导组织起草或审核以省政府、省政府办公厅名义发布的公文;受理省政府各部门和各地政府请示省政府的公文,提出审核意见,报省政府领导审批;受省政府委托或交办,组织有关协调工作;根据省政府的工作重点和省政府领导指示,组织和参与调查研究,及时反映情况,提出建议;督促检查省政府各部门和各地政府贯彻落实国务院和省政府文件、省政府会议决定事项及省政府领导重要批示的执行情况,及时向省政府领导报告,提出建议;负责省政府会议的准备和组织工作,协助省政府领导组织会议决定事项的实施;负责省政府重大活动的组织和省政府领导的重要内外事活动安排;负责省政府值班工作,及时报告重要情况,传达和督促落实省政府领导指示;协助省政府领导做好需由省政府组织处理的突发事件的应急处置工作;负责全国、省人大和政协交办的人大代表建议和政协提案的组织办理和督促检查工作;负责处理人民群众来信来访中的有关问题;组织、指导和协调全省政府系统电子政务建设和政府门户网站建设;指导和监督全省政府信息公开工作;组织、协调全

省反走私、海防和口岸管理工作;负责党和国家及军队领导人以及从上述岗位退下来的老同志的接待工作;负责部、省级内宾的接待工作;办理省政府领导交办的其他事项。

2.2项目实施机构与职责

本项目实施机构为浙江省政府办公厅电子政务处。主要职责是组织、指导和协调全省政府系统电子政务建设和政府门户网站建设。

第三章项目背景与需求分析

3.1背景与现状

党的十八届三中全会通过的《中共中央关于全面深化改革若干重大问题的决定》明确指出,要深化行政审批制度改革,规范行政审批事项管理,提高行政审批办事效率。2014年1月,省政府下发了《浙江省网上政务大厅建设工作方案》(浙政办发〔2014〕10号),决定以建设省市县三级网上政务大厅为抓手,加快推进全省行政审批制度改革。为有效支撑全省网上政务大厅的运行,建立一套高速互连、高可靠性的全省政务云平台势在必行。

浙江省委、省政府主要领导高度关注电子政务建设,对省电子政务基础设施利用率低、重复建设严重等现象作出重要批示,要求整合电子政务资源,进一步降低行政成本。要有效解决这一问题,其重要手段就是通过云计算技术来整合分散的计算、存储、数据和业务资源,从根本上解决电子政务应用部署灵活性不高、运维困难等问题。

目前,全省统一的电子政务网络已经运行多年,其中电子政务外网承载了全省大部分政务部门的业务应用。电子政务外网省至市广域网带宽为155MB,省市县乡四级电子政务网络实现全覆盖。部分政务部门已在应用云计算技术,有些地方尝试开展了地方云计算平台的建设工作,能够提供虚拟

主机、存储备份等基础云服务,这些都为建设全省政务云提供一定的经验。同时,调研发现,大部分政务部门建设云计算系统时,较少部署云安全系统;少数省级厅局(如公安、税务)初步建成异地灾备系统并投入运行。为统一建设标准,省府办电子政务处于2013年6月初步完成省级地方标准《浙江省电子政务云、安全及运维体系规范》编制工作,正准备公示发布。

3.2存在的问题

一是政务云计算标准不统一。目前,工业和信息化部尚在制定电子政务云相关标准,我省的地方标准尚未发布。由于缺少对电子政务云的建设和运维的指导性意见,各地、各部门对电子政务云总体框架理解不一,“低标准、小规模、建设散、弱运维”的现象突出,反而造成不必要的资源浪费。

二是省政务外网难以适应云计算的发展。全省政务外网建成于2006年,由于经费有限,没有备用链路。在云计算环境下,业务系统对网络可靠性要求非常高,必须要有一主一备网络链路来确保网络不中断运行。

三是云安全意识薄弱。目前,大多数在建和已建政务云的政务部门对云安全认识不足,尚未系统开展云安全建设工作。由于云计算的复杂性,对其安全运维管理也带来新的要

求,如果没有统一的云安全防范措施,将不利于政务云的建设和管理,用户也将面临更大的安全风险。

3.3需求分析

根据规划,省政务云平台近期要支撑省网上政务大厅运行,同时为将省级各厅局、各市县提供基础设施服务。各业务系统对政务云平台、云网络和云安全的需求主要如下:一是统一规范的云平台。目前,省级政府部门未设立集中办事的实体大厅,迫切需要统一的政务云平台,为审批业务系统提供云主机、云存储、云开发平台、共性应用软件等,从“基础施设即服务”、“平台即服务”、“软件即服务”等多个层面来支撑网上政务大厅有效运行。同时,各市也迫切需要建设市级政务云平台,以承担市级业务应用。

二是高速互通的云网络。由于省政务云平台交互海量信息,对云间互联带宽的需求较大,有必要建立统一的高速云网络,联通各市、县(市、区)行政服务中心,并与电子政务外网互为备份,以保障网络层的安全可靠性。

三是安全可靠的云服务。省政务云平台集中承载了支撑网上政务大厅运行的核心业务和数据,要充分保障物理资源层、资源抽象与控制层和云服务层安全,并提供云安全基础服务。同时,为确保政务云核心数据安全,需要提供异地容灾备份服务。

3.4系统功能指标

政务云对政务部门提供基于IaaS、PaaS、SaaS层面的云服务,并通过政务云网络将这些服务安全输送给政务云用户。政务云IaaS层,提供硬件和软件基础设施服务,具体可包括云主机、云存储、云网络、海量结构化数据和大数据计算等服务;政务云SaaS层向云用户提供即开即用的软件服务;政务云PaaS层,提供统一的云应用框架、云数据库、地理信息平台和数据交换平台等通用功能组件。

政务云网络按层次化组网,以可靠性、灵活性和可扩展性为建设原则,实现省市县三级高速互联,为各类业务系统应用提供安全的网络支持,并与电子政务外网互为备份。

云安全建设保障物理资源、抽象与控制、云服务等各层面的安全,并提供基础的云安全服务,对用户进行身份识别和访问控制,确保数据安全;灾备建设通过对数据和关键业务进行容灾备份,确保核心业务的不中断。

3.5信息量指标

云平台和云网络所承载的业务,近期主要为省网上政务大厅系统。省网上政务大厅有全省统一申报反馈平台、统一数据共享库、数据交换平台、各级各部门审批系统等,承载的信息主要包括管理和服务对象信息、实施主体信息和流程

控制信息三类。针对上述信息,云网络的信息交换量估算如下:

1.在部门间横向交互信息方面,按50个省级部门的信息交互量估算,并考虑今后各部门业务系统向云平台迁建,对城域云网络承载要求应为网络带宽不小于1GB。

2.在省与市纵向交互信息方面,按11个市和90个县区的信息交互量估算,对广域云网络承载要求,省到市网络带宽不小于2.5GB,市到县网络带宽不小于1GB。

3.云平台的信息存储量方面,在云平台上运行的网上政务大厅核心数据库包括权力事项库、办件信息库、电子证照库等产生海量结构和半结构化数据,其存储量要求不小于30TB。考虑到云平台上各业务系统产生的临时存储数据等,一般数据存储量应上浮10~20%。因此,本期信息存储量要求为不小于35TB。

3.6系统性能指标

3.6.1云平台

本期项目按100台物理服务器的规模开始建设,后续将根据业务发展情况,按需扩容、滚动建设,要求能扩展管理5000台以上物理服务器的规模量;

云服务器性能要求平均可用性不低于99.9%,数据可靠性不低于99.999%;云存储容量达到PB级以上,平均可用性不低于99.9%,数据可靠性不低于99.99999999%。

3.6.2云网络

云网络电路可靠性要求不低于99.9%,IP包传输时延、误差率、丢失率等网络性能指标须满足YD/T1171-2001《IP 网络技术要求—网络性能参数与指标》中相关规定要求。3.6.3云安全和灾备

全省政务云平台和政务云网络应满足GB/T22239-2008《信息系统安全等级保护基本要求》三级(含)以上要求。对于核心业务,应达到GB/T 20988-2007《信息安全技术信息系统灾难恢复规范》五级要求,实现应用级容灾保护;对于其他非关键性业务达到三级要求,实现数据级容灾保护。

第四章总体建设方案

4.1指导思想

省政务云建设的指导思想是:以十八大和十八届三中全会的重要思想为指导,适应深化改革和高效发展对政务工作的要求,大力整合电子政务资源,转变政府职能,更好地服务人民群众,促进国民经济持续快速健康发展和社会全面进步。

4.2总体设计原则

省政务云的总体设计原则如下:

一、统一规范

由于云计算是一个复杂的体系,应在统一的框架体系下,参考国际国内各方面的标准与规范,严格遵从各项技术规定,做好系统的标准化设计与施工。

二、成熟稳定

由于云计算的发展变化很快,而本项目建设时间紧,涉及面广,应用性强,在设计过程中,应选成熟稳定的技术和产品,确保建成的政务云平台适应各方的需求,同时节约项目施工时间。

三、实用先进

为避免投资浪费,政务云平台体系的设计不仅要求能够满足目前业务使用的需求,还必须具备一定的先进性和发展潜力,使系统具有容量的扩充与升级换代的可能,以便该项目在尽可能的时间内与业务发展和信息技术进步相适应。

四、开放适用

由于云计算平台为各业务应用系统提供支撑,必须充分考虑系统的开放性,提供开放标准接口,供开发者、用户使用。

五、安全可靠

本项目涉及用户范围广,数量大,实时性强,设计时应加强系统安全防护能力,确保系统运行可靠,业务不中断,数据不丢失。

4.3总体目标与分期目标

本项目的总体目标是建设省政务云平台和云网络,为网上政务大厅和其他业务系统提供安全可靠的云基础设施和云软件服务。本项目共分两期,第一期目标是在2014年6月底以前,建设省级政务云平台和云网络;第二期目标是在2015年6月底以前,各市按统一标准建设各地的政务云平台和云网络。

4.4总体建设任务与分期建设内容

我省政务云的总体任务是完成浙江省、市两级政务云平台,以及联通省、市、县(市、区)三级的政务云网络建设,形成完善的云安全保障、云灾难备份和云运维管理体系。

第一期建设任务是制定省政务云平台、安全和管理相关标准,建设省级政务云平台、云网络省级城域网及全省广域骨干网,联通省、市、县(市、区)单位的网上办事大厅和业务系统,初步完成云安全和云运维管理体系建设,第二期建设任务是依照统一标准,各市根据实际情况建设本地政务云平台及云网络城域网,完成全省云安全、云灾难备份和云运维管理体系建设。

4.5系统总体结构和逻辑结构

4.5.1全省政务云总体架构

全省政务云总体架构,如图1所示,具体描述如下:

1.省政务云采用省、市两级架构。省级政务云主要为省级单位服务,也可为有需要的地方提供云计算服务;市级政务云为本地(含县、市区)单位提供云计算服务;县级政府原则上不再建设政务云平台。

2.根据省政务外网标准,全省政务云分为资源共享专区和公众服务专区,资源共享专区主要承载数据交换、资源共享、行政审批等服务,公众服务专区主要承载公众服务类

业务;公众服务专区首选部署在公有云,也可部署在政务云内。

3.政务云资源共享专区通过安全隔离措施访问公有云(互联网)、公众服务专区;各单位政务外网的业务系统应根据服务对象逐步迁移至省级政务云或公有云上,实现集中集约部署,避免基础实施重复建设。

4.省级政务云和市级政务云通过不小于2.5G的高速宽带云网络进行互连互通,并与省政务外网155M专线互为备份。省政务云网络按照省政务外网标准建设。

5、全省政务云平台采用11+1的异地容灾模式,即11

个市级政务云利用省级政务云平台中的资源进行异地备份;省级政务云选择一个市级政务云建设异地灾备中心。

电子政务系统建设方案

电子政务系统建设方案 为加快我县电子政务建设进程,促进政府转变职能,提高工作效率,增强政府监管和服务能力,结合我县实际,制定本方案。 一、电子政务建设的指导思想和建设原则、目标 (一)指导思想。 以邓小平理论和“三个代表”重要思想为指导,全面贯彻落实科学发展观,加快推进电子政务建设,发挥电子政务在促进政府效能建设、改进为民服务、建设和谐社会中的积极作用。通过推进电子政务,推行网上为民办事和普及党政机关文档电子化,通过推进电子政务,提高政府及部门的办事效率和管理水平,提高服务社会能力,促进政务公开和廉政建设;改善招商环境,扩大吸引外资,促进我县经济社会又好又快发展。 (二)建设原则。 以实用为主,以应用促发展;统一规划,政府主导;统一平台,联合建设;互联互通,资源共享;统一标准,保障安全;突出重点,分步实施。 (三)工作目标。 以《安徽省“十一五”电子政务建设发展规划》为指导,到XX年,全县电子政务系统框架基本形成。通过统一的电子

政务网络交换平台,推进政府机关内部办公自动化、公文交换无纸化、管理决策网络化、公共服务电子化,实现政务工作全面信息化。建成连接各乡镇及县直各部门、各单位的信息网络系统;建立政府电子信息资源库,实现信息充分共享和广泛使用;建立政府辅助决策和指挥调度系统,提高政府决策和应变能力;初步建立信息安全保障体系,在网上提供方便、快捷、透明的“一站式”政务服务。 二、电子政务系统建设规划 电子政务网络包括对外服务的政务外网、党政内部办公的政务内网,在政务内网之间进行数据交换、信息共享和业务协同(网络拓扑图见附件)。 政务外网:在互联网上建立公共行政部门统一的门户网站,为各公共行政部门政务公开、网上办事、对外宣传交流提供平台。政务内网:建立党政职能部门内部办公自动化系统,运用先进的数据交换、共享、采集、发布手段,使得各部门在同一平台上开展业务。通过政务内网为党政机关日常电子化办公提供服务,实现包括公文收发、会议管理、人员管理、项目管理、资产管理、档案管理等政务活动电子化。 政务内网与政务外网之间进行隔离,保证政务数据资源及应用的安全性。 三、电子政务建设的重点任务 (一)完善电子政务网络平台,提升电子政务基础设施水

电子政务2020年工作计划范文

电子政务2020年工作计划范文 电子政务是当今世界发展的大趋势,是推动经济社会变革的重要力量。新时期下的林业发展离不开林业电子政务,加快林业电子政务,带动林业现代化,既是贯彻落实科学发展观、全面建设小康社会、构建社会主义和谐社会和建设创新型国家的迫切需要和必然选择,也是历史赋予我们的光荣使命。 1.1建设现状 林业电子政务建设起步于XX年,XX年进入了飞速发展阶段,从当初几台电脑的局域网,发展成为以省、、县、乡镇四级联网的林业广域网为支撑,以视频会议、网上审批、远程监控、信息发布、空间地理信息、数据中心等应用为基础的林业电子政务体系。 1.2主要成效 一是启动"信息高速公路"建设,建设完成了省、县、基层工作站四级联网的网络体系。XX年底,11个县林业局全部与林业厅政务内网联通,省、、县三级联网的内网体系建成。XX年底,各乡镇工作站联入全省林业政务内网,省、、县、基层工作站四级联网的"信息高速公路"初步构建。 二是建设完成了三级联网的视频会议系统。XX年初,全面融入了省林业厅、11个设区、95个县林业局三级联网的视频会议系统。

三是建设启用了行政许可网上办证办公系统,实现了所有办证点采伐证和运输证的网上办理。XX年底,各县(区)和部分乡镇林业工作站配备了正式办证人员,一律使用网上办证系统,停止手工办证。 四是完成了边境木材检查站和重点林业防火区监控系统建设,搭建了互联网远程监控的全球眼平台。省级以上自然保护区和国家森林公园联入了平台,实现了远程视频监控; 五是整合门户网站、林业产权交易中心信息发布平台和场供求信息服务平台,建设综合信息发布系统。融入了江西省产权交易中心网,免费为全国各地林农和企业发布供求信息。 六是开展森林资源管理地理信息系统建设,打造数字林业。该系统实现了对重点公益林、省级公益林、退耕还林工程等资源数据的矢量化处理。 1.3存在的问题 一是全林业系统电子政务人才仍严重缺乏。主要体现在两方面:一是、县林业局缺乏专业人员;二是大部分县没有专人专岗,流动频繁,技术难以衔接和沉淀。 二是林业电子政务建设还需整合。主要表现在信息化建设政出多门,一些部门没有征求信息中心就开展信息化项目建设,导致数据接口及标准不一,为以后整合埋下了隐患。 三是林业电子政务建设投入不足。

浙江省电子政务云建设方案

浙江省电子政务云建设方案——暨省网上政务大厅基础设施建设方案 编制单位:浙江省人民政府办公厅电子政务处编制人员: 审核人员: 审批人员:

编制日期:

目录 目录.......................................................................................................... I 第一章项目概述1 1.1项目名称 1 1.2项目建设单位及负责人 1 1.3编制单位 1 1.4编制依据 1 1.5建设目标、规模、内容、建设期 2 1.6总投资及资金来源 2 1.7效益及风险 2 第二章项目建设单位概况4 2.1项目建设单位与职能 4

2.2项目实施机构与职责 5 第三章项目背景与需求分析6 3.1背景与现状 6 3.2存在的问题 7 3.3需求分析 8 3.4系统功能指标 9 3.5信息量指标 9 3.6系统性能指标 10 第四章总体建设方案12 4.1指导思想 12 4.2总体设计原则 12

4.3总体目标与分期目标 13 4.4总体建设任务与分期建设内容 14 4.5系统总体结构和逻辑结构 14 第五章详细设计方案19 5.1物理资源层 20 5.2资源抽象与控制层 22 5.3政务云服务层 23 5.4政务云网络 33 5.5安全系统设计 37 5.6备份系统设计 49 5.7运行维护系统设计 50

5.8应急响应 53 5.9系统配置及软硬件选型原则 53 5.10系统软硬件配置清单 56 5.11服务交付以及报价模式 58 第六章项目建设与运行管理59 6.1领导和管理机构 59 6.2项目实施机构 59 6.3运行维护机构 59 6.4项目招标方案 59 6.5项目进度、质量、资金管理方案 59 第七章人员配置与培训61

电子政务网建设方案

电子政务网建设 技术建议书 目录

1前言 政府及事业单位一直是中国信息化的先行者,政府网络的建设已经比较完善。随着“电子政务”建设的进一步深入,政府信息化建设重点变化明显,电子政务业务系统的受重视程度继续加强;而办公自动化、信息安全和政府门户网站建设的受重视程度显着加强。 按照政府网络管理的要求,必须保障含有国家机密信息的“内网”不但要求的绝对安全。但随着电子政务、网上政府、政府自身的信息化业务系统等的发展,政府与自身各分支机构、外界相关单位信息交互的“外网”安全和互连互通就变得更为必要。此外网络的安全问题日益显得尤为重要。 2网络平台需求分析 随着电子政务系统信息化的发展,电子政务内网网络平台逐渐从“分离的专网”向“统一网络平台”转化,成为主流的建网思路。其基本思想都是在一个统一的网络平台上为各种业务系统提供传输通道,以及方便地实现流程整合。 统一网络平台解决了业务专网建设思路存在的问题,其优势如下:

利于网络扩展:当增加新的业务系统时不需要建设新的专网,而是由网络平台统一分配网络资源;当业务专网扩容时不需要单独扩容,首先通过统一网络平台扩展其容量,当统一网络平台容量不足时再考虑对整个平台进行扩容。 管理成本低:统一网络平台由专门的部门统一维护,不需要每个业务部门都设置网络管理员及网管,极大地降低了管理成本。 网络资源利用率高:由于各业务系统对网络资源(如带宽)的需求由统一网络平台来满足,可以根据各业务系统实际的流量动态调整带宽,充分利用网络资源。 利于业务系统之间的信息共享和流程整合:由于各业务系统采用统一的网络平台,相互之间很容易实现互访,为在将来进行信息共享及业务横向提供了良好的基础。 为充分满足电子政务系统信息化发展的要求,统一网络平台还需要满足以下的关键业务需求: 部门系统之间的安全隔离:不同部门系统之间需要提供安全隔离,避免非法访问。 电子政务系统业务系统之间的互访:部分业务系统,如领导决策公文下发数据、政策公布、业务数据上报业务等之间有相互访问的需求,随着业务纵向整合的开展各单位系统之间需要更加紧密地联系在一起;网络平台必须满足各单位系统互访的要求及安全性。 不同业务系统的差别服务(COS):不同业务系统,需要网络平台提供

电子政务工作计划通用范本

内部编号:AN-QP-HT809 版本/ 修改状态:01 / 00 The Production Process Includes Determining The Problem Object And Influence Scope, Analyzing Problems, Proposing Solutions And Suggestions, Cost Planning And Feasibility Analysis, Implementation, Follow-Up And Interactive Correction, Summary, Etc. 编辑:__________________ 审核:__________________ 单位:__________________ 电子政务工作计划通用范本

电子政务工作计划通用范本 使用指引:本计划文件可用于对自我想法的进一步提升,对工作的正常进行起指导性作用,产生流程包括确定问题对象和影响范围,分析问题提出解决问题的办法和建议,成本规划和可行性分析,执行,后期跟进和交互修正,总结等。资料下载后可以进行自定义修改,可按照所需进行删减和使用。 XX年度自治区政府系统电子政务工作,要以党的xx大精神为指引,按照自治区十一届人代会确定的工作要求,进一步强化机制,完善体系,规范管理,改进服务,重点抓好政府信息公开工作,带动政府网站建设和应用水平的进一步发展,提高政府的网上公共服务水平,增强政府工作透明度。继续推进电子政务网络的拓展完善,保障网上办公应用的进一步开展,提高政府的办公效率。做好应急平台等电子政务重要应用系统的建设和应用,全面提高政府综合协调和管理能力。 一、全面推进政府信息公开工作认真

浙江省电子政务云建设实施方案

浙江省电子政务云建设方案 ——暨省网上政务大厅基础设施建设方案 编制单位:浙江省人民政府办公厅电子政务处编制人员:审核人员: 审批人员: 编制日期:

目录 目录................................................................................................................... 第一章项目概述 (1) 1.1项目名称 (1) 1.2项目建设单位及负责人 (1) 1.3编制单位 (1) 1.4编制依据 (1) 1.5建设目标、规模、内容、建设期 (2) 1.6总投资及资金来源 (2) 1.7效益及风险 (2) 第二章项目建设单位概况 (4) 2.1项目建设单位与职能 (4) 2.2项目实施机构与职责 (5) 第三章项目背景与需求分析 (6) 3.1背景与现状 (6) 3.2存在的问题 (7) 3.3需求分析 (8) 3.4系统功能指标 (9) 3.5信息量指标 (9) 3.6系统性能指标 (10) 第四章总体建设方案 (12)

4.1指导思想 (12) 4.2总体设计原则 (12) 4.3总体目标与分期目标 (13) 4.4总体建设任务与分期建设内容.......................................... 1 4 4.5系统总体结构和逻辑结构 (14) 第五章详细设计方案 (19) 5.1物理资源层 (20) 5.2资源抽象与控制层 (22) 5.3政务云服务层 (23) 5.4政务云网络 (32) 5.5安全系统设计 (37) 5.6备份系统设计 (49) 5.7运行维护系统设计 (50) 5.8应急响应 (53) 5.9系统配置及软硬件选型原则 (53) 5.10系统软硬件配置清单 (56) 5.11服务交付以及报价模式 (58) 第六章项目建设与运行管理 (59) 6.1领导和管理机构 (59) 6.2项目实施机构 (59) 6.3运行维护机构 (59) 6.4项目招标方案 (59)

中国电子政务发展历程

中国电子政务发展历程 电子政务的发展源于技术的进步和社会的演进,信息技术的突破性进展为政府信息化创造了条件,中国政府明确提出建设电子政务虽然只是近几年的事,但从历史沿革来看,80年代中期开始的办公自动化建设,就已经拉开了电子政务建设的帷幕。从这一时间段来划分,中国电子政务的发展大体可分为四个阶段:(一)办公自动化阶段 上世纪80年代中期,办公自动化(Office Automation,简称OA)的概念被引入中国,至今已有20多年的发展历史。作为政府管理信息化的基础和重要组成部分,它是信息技术在政府管理中应用的基础,也是开展电子政务不可或缺的重要组成部分。中国的OA经过从80年代末至今10多年的发展,已从最初提供面向单机的辅助办公产品,发展到今天可提供面向应用的大型协同工作产品。中国政府最早提出办公自动化建设的目标源于1985年的“海内工程”。当时的主要想法是在中央政府开展办公自动化建设,尝试利用计算机技术辅助完成一些最基础的政务活动,譬如文件电子化处理、数据电子化存储等。此后国务院又通过举办全国性的办公自动化工作会、交流会、研讨会等多种形式,在全国各地政府机构掀起了学习计算机、使用计算机的热潮,一些部门还在工作中建立

了小型的内部办公网络和专门的信息中心,帮助政府部门提高信息处理能力和决策水平,为计算机和互联网技术在政府管理中的广泛应用奠定了基础。 (二)“三金工程”实施阶段 1993年12月启动的“三金工程”,即金桥工程、金关工程和金卡工程,是中央政府主导的以信息化为特征的系列工程,重点是建设信息化的基础设施,为重点行业和部门传输数据和信息,这一阶段实际上也是电子政务发展的雏形阶段。 “金桥工程”的全称为“国家公用经济信息通信网工程”,是国家经济和社会信息化的基础设施之一,它与原邮电部通信干线及各部门已有的专用通信网互联互通,互为备用,建成覆盖全国、天地一通的中速信息通信网。这一工程虽然不是广为人知,但事实上却为中国的信息化建设做出了重要的贡献,1996年9月中国首次开通因特网业务,就是在此基础上进行的。 “金关工程”是国家为提高外贸及相关领域的现代化管理和服务水平而建立的信息网络系统,主要通过海关、外贸、外汇管理和税务等政府部门的联网,向企业提供相关服务。目前已经制定并实施了进出口企业代码、进出口商品代码两项标准,建设了配额许可证管理系统、进出口统计管理系统、出口退税管理系统、出口收汇和进口付汇核销系统等应用系统。金关工程一方面是出自外贸领域

电子政务平台建设方案详细

统一电子政务平台 建设方案

目录 1、基础系统平台 (13) 1.1 系统后台 (13) 1.1.1. 工作流管理 (13) 1.1.2. 可视化流程编辑器 (13) 1.1.2.1. 工作原理 (15) 1.1.2.2. 设计定义 (16) 1.1.2.3. 流程节点角色化 (16) 1.1.2.4. 数据库、文件两种保存方式 (17) 1.1.3. 流程分类管理 (17) 1.1.3.1. 部流程管理 (18) 1.1.3.2. 并联流程管理 (18) 1.1.4. 电子表单管理 (19) 1.1.4.1. 可视化表单编辑器 (20) 1.1.4.2. 表单管理 (22) 1.1.4.3. 表单共享管理 (23) 1.1.4.4. 表单打印 (24) 1.1.4.5. 表单存档 (25) 1.1.5. 文书模板管理 (25) 1.1.5.1. 可视化文书编辑器 (26) 1.1.5.2. 支持多种文书 (26) 1.1.5.3. 支持预览打印 (26) 1.1.5.4. 文书模板管理 (27) 1.1.5.5. 文书管理 (27) 1.1.6. 信任授权管理 (27) 1.1.6.1. 用户管理 (27) 1.1.6.2. 区划管理 (30) 1.1.6.3. 机构管理 (30) 1.1.6.4. 角色管理 (31) 1.1.6.5. 岗位管理 (31) 1.1.6.6. 应用管理 (32) 1.1.6.7. 权限管理 (32) 1.1.7. 单点登录管理 (32) 1.1.8. 统一日志 (34) 1.1.8.1. 普通日志管理 (36) 1.1.8.2. 失败日志管理 (36) 1.2 行政权力事项管理 (37) 1.2.1 配置管理 (37) 1.2.2 事项动态管理 (38) 1.2.3 事项库管理 (40)

电子政务工作计划报告(新版)

编号:YB-JH-0762 ( 工作计划) 部门:_____________________ 姓名:_____________________ 日期:_____________________ WORD文档/ A4打印/ 可编辑 电子政务工作计划报告(新版) Frequently formulating work plans can make people’s life, work and study more regular, and develop good habits, which is a habit necessary for success in doing things

电子政务工作计划报告(新版) 摘要:经常制订工作计划,可以使人的生活、工作和学习比较有规律性,养成良好的习惯,因为习惯了制订 工作计划,于是让人变得不拖拉、不懒惰、不推诿、不依赖,养成一种做事成功必须具备的习惯。本内容可 以放心修改调整或直接使用。 XX年度自治区政府系统电子政务工作,要以党的xx大精神为指引,按照自治区十一届人代会确定的工作要求,进一步强化机制,完善体系,规范管理,改进服务,重点抓好政府信息公开工作,带动政府网站建设和应用水平的进一步发展,提高政府的网上公共服务水平,增强政府工作透明度。继续推进电子政务网络的拓展完善,保障网上办公应用的进一步开展,提高政府的办公效率。做好应急平台等电子政务重要应用系统的建设和应用,全面提高政府综合协调和管理能力。 一、全面推进政府信息公开工作认真贯彻实施《中华人民共和国政府信息公开条例》(以下简称《条例》),切实履行好政府信息公开工作机构的职责。遵循《关于印发〈新疆维吾尔自治区政府信息公开目录编制规范(暂行)〉的通知》(新政办函〔XX〕7号),全面完成政府信息公开指南和目录的编制工作,按《条例》和国务

我国电子政务建设现状分析

我国电子政务建设现状分析 【摘要】伴随着信息时代的到来,我国电子政务建设在探索中。政府网站建设逐步完善,政府门户网站体系初步形成,政务透明度进一步增强。笔者就是在此基础上,从自身的实际工作经验出发,提出电子政务工作在发展中亟待解决的问题,对今后电子政务建设有很重要的指导意义。 【关键词】电子政务办公自动化网站 一、引言 1993年底,为了适应全球建设信息高速公路的潮流,我国政府正式启动了“三金工程”,这是中央政府主导的以政府信息化为特征的系统工程,重点是建设信息化的基础设施,为重点行业和部门输送数据和信息。在1998年,随着网络技术的快速发展和信息基础设施的不断完善,我国政府提出了政府上网的构想,并将1999年定为“政府上网年”,从而揭开了我国电子政务建设发展的序幕,电子政务也开始成为人们关注的焦点。 二、对国电子政务建设的正确认识

我国政府早在20世纪80年代中期就已清醒意识到信息技术革命将会带给世界的巨大冲击和挑战,因而便开始在一些政府部门尝试利用计算机技术辅助实施一些最基础的政 务活动,比如,管理档案、处理公文等活动。这便是所谓的OA(办公自动化)工程。 然而,随着信息产业技术的飞速发展,使我们清醒地认识到真正的电子政务绝非只是简单的政府上网、“三金工程”或办公自动化(OA)。它所需要的早已不是安装几台电脑(PC),连接打印机和扫描仪,处理文件和打印报表,甚至也不仅是建立门户网站,收发电子邮件,无纸化办公等。 现代电子政务是指政府机构利用现代网络通讯技术,实现高效、透明、规范的电子化内部办公、协同办公和对外服务的过程。它的建设是一个分步骤、分层次发展的系统工程,从建设基础网站、创办通讯窗口到开放性信息服务和大网络政府。 三、我国电子政务的发展程度 相对于西方发达国家而言,我国的电子政务工作还显得不够完善,但是从某种程度而言也取得了显著的成果,自2002年中办17号文发布以后,我国的电子政务建设已从部门办公自动化,开始走上了支撑部门职能业务的发展道路。

最新版电子政务云计算数据中心项目可行性研究报告

电子政务云计算数据中心项目 可行性研究报告

目录 第 1 章、总论 (1) 1.1 概述 (1) 1.2 建设背景 (1) 1.3 建设必要性和可行性 (2) 1.4 建设目标与任务 (2) 第 2 章、需求分析 (4) 2.1 用户需求 (4) 2.2 数据需求 (4) 2.3 系统及应用需求分析 (7) 2.3.1 节点管理 (8) 2.3.2 主题管理 (8) 2.3.3 元数据管理 (8) 2.3.4 公共代码管理 (9) 2.3.5 数据采集 (9) 2.3.6 数据整理比对 (9) 2.3.7 数据交换 (9) 2.3.8 数据访问 (10) 2.3.9 数据备份与恢复 (10) 2.3.10 标准管理 (10) 2.3.11 应用支持 (10) 2.3.12 运行管理 (10) 2.4 性能需求分析 (11) 2.4.1 业务处理量分析 (11) 2.5 安全及保障机制需求分析 (12) 2.5.1 系统安全可靠性需求 (12) 2.5.2 数据安全保密性需求 (12) 2.5.3 数据完整性需求 (13) 2.5.4 实体的可鉴别性需求 (13) 2.5.5 不可否认性需求 (13) 2.5.6 对象和行为的可授权性需求 (13)

2.5.7 统一信任与授权策略需求 (13) 2.5.8 数据中心统一安全监管性需求 (14) 2.5.9 保障机制需求分析 (14) 第 3 章、数据中心设计方案 (15) 3.1 设计原则 (15) 3.1.1 统一建设15 3.1.2 相对独立15 3.1.3 共建共享15 3.1.4 安全可靠15 3.2 数据中心平台设计 (16) 3.2.1 平台总体架构 (16) 3.2.2 数据资源规划 (16) 3.2.2.1 数据资源规划的总体思路..............................1.6 3.2.2.2 数据资源体系结构...............................................................1 7 3.2.2.3 共享数据一致性的保证................................1.8 3.2.2.4 共享数据库的建立过程................................1.9 3.2.3 数据支撑平台 (20) 3.2.3.1 数据共享交换子系统..................................2.0 3.2.3.2 目录管理服务子系统..................................2.3 3.2.3.3 共享数据管理子系统..................................2.3 3.2.3.4 共享业务管理子系统..................................2.4 3.2.3.5 系统配置管理子系统..................................2.4 3.2.3.6 系统安全管理子系统..................................2.4 3.2.4 数据共享交换平台 (25) 3.2. 4.1 交换网络结构.......................................................................2 5 3.2. 4.2 交换概念模型.......................................................................2 7 3.2. 4.3 交换体系结构.......................................................................2 8 3.2.5 共享数据管理系统 (30) 3.2.5.1 功能设计...............................................................................3 0

中国电子政务趋势分析

中国电子政务趋势分析 随着信息技术的迅猛进展和政府职能的转变,政府内部电子办公已全面向“电子政府”或数字化办公转移,中国电子政务建设进入了蓬勃进展的新时期。与之相应,中国电子政务应用市场获得了前所未有的进展空间,电子政务建设过程中的it产品采购量以每年30%以上的速度在增长。 政府采购154.9亿元 据赛迪顾咨询调查显示,2002年上半年,中国各级政府it设备采购情形良好,销售额达到154.9亿元人民币,中国各级政府机构电子政务基础it设备采购量迅速增加。 从电子政务的产品结构分析,2002年上半年中国政府机构硬件产品采购额为107.3亿元,软件产品采购额为21.1亿元,信息服务投资额为2 6.5亿元。 可见,硬件设备投资仍旧是电子政务市场投资的主体部分。此外,当前中国电子政务建设过程中,软件和信息服务的投资比例还比较低,两者相加仅为30.7%。 由于硬件产品采购幅度的连续增加,截止到2002年6月底,中国政府机构各类硬件产品的拥有量已有明显提升。 近两年,电子政务建设的高潮迭起,为高速进展的中国软件市场注入了新的活力,而电子政务的专门性为民族软件企业的进展提供了难得的机遇。据赛迪顾咨询统计,2002年上半年,中国政府机构电子政务建设

软件投资额达21.1亿元,占软件总体市场的比例为14.7%。其中:平台软件(包括操作系统、数据库和系统及网络治理软件)的采购额为6.60亿元,中间软件(包括中间件、网络安全软件)的采购额为1.79亿元,应用软件的采购额达12.71亿元。可见,在各个细分的市场中,政府机构对电子政务软件的采购规模都十分可观 网络服务迅速增长 政府上网工程 我国电子政务的构架包括统一政府信息网络平台和政府业务处 理系统。中国“政府上网工程”的重心一直集中在政府专网建设、政府信息收集、交换和公布改革等方面,纵向网和跨部门网络建设连续呈快速进展之势,业务数字化、网络化建设也取得了令人中意的成绩。据赛迪顾咨询统计,截至2002年6月底,通过“政府上网工程”的实施,已有81.1%的省、部级政府部门建立了主页,57.5%的省市级政府部门建立了政府网站,并提供主页开展公众信息服务。 电子政务业务系统建设 2002年上半年,中央政府和部分省市政府职能部门的纵向业务系统建设力度连续加大。据赛迪顾咨询调查,截止到2002年6月底,81.7%的省市级以上政府部门建立了核心办公类应用系统,93.2%的政府部门建立了辅助业务治理信息系统,30.1%的政府部门建立了领导决策支持类应用系统, 应该看到,有关于网站和内部办公系统的建设,中国电子政务业

电子政务实施方案(投标书)

“数字柯桥”——绍兴电子政务实施计划及实施方案 投 标 书 二○○二年十一月

目录 第一部分项目阶段划分 (3) 一、第一期工程 (3) (一) 周期: (3) (二) 主要目标: (3) (三) 主要工作任务: (3) 二、第二期工程 (4) (一) 周期: (4) (二) 主要目标: (4) (三) 主要工作任务: (4) 三、第三期工程 (4) (一) 周期: (4) (二) 主要目标: (5) (三) 主要工作任务: (5) 四、实施计划干特图 (5) 第二部分项目开发的组织结构 (6) 一、组织结构图: (6) 二、主要小组的职责 (6) (一) 领导小组职责 (6) (二) 专家小组 (7) (三) 开发方项目经理职责 (7) (四) 电子政务绍兴政府负责人 (7) (五) 需求联合组职责 (8) 第三部分项目开发过程控制 (8) 一、项目开发方的组织控制 (8) (一) 立项管理 (8) (二) 结项管理 (8) (三) 里程碑评审 (9) 二、项目级的控制 (9) (一) 项目计划 (9) (二) 项目计划的跟踪和控制 (10) (三) 项目风险管理 (11) (四) 需求管理 (11) (五) 配置管理 (11) 三、工程实施的控制 (12) 四、质量控制 (13) 五、过程文档 (15) 第四部分人力资源配置 (16) 第五部分培训计划 (17) 一、对开发方的培训 (17)

(一) 时机 (17) (二) 对象 (17) 二、对用户方的培训 (18) (一) 时机 (18) (二) 对象 (18) 三、培训形式 (18) 第一部分项目阶段划分 根据数字柯桥项目的项目范围,公司的现有集团资源以及目前国际、国内电子政务的实施和应用环境,我们将数字柯桥项目划分为三个工程阶段: 一、第一期工程 (一)周期: 4个月; 2002年12月至2003年3月。 (二)主要目标: 内部实现县级办公自动化,对外树立数字柯桥的形象。 (三)主要工作任务: 实现绍兴县电子政务系统整体构架的总体技术方案。 设计并实现绍兴县电子政务县级政府办公自动化所依赖的核心数据中心。 在系统的整体构架下,实现电子政务内网县级安全认证,并在技术上实现县、镇、村之间的数据安全共享。 保证35个县级部门的公文在线流转,实现网上审批服务、内部办公自

电子政务工作计划正式版

Making a comprehensive plan from the target requirements and content, and carrying out activities to complete a certain item, are the guarantee of smooth implementation.电子政务工作计划正式版

电子政务工作计划正式版 下载提示:此计划资料适用于对某个事项从目标要求、工作内容、方式方法及工作步骤等做出全面、具体而又明确安排的计划类文书,目的为完成某事项而进行的活动而制定,是能否顺利和成功实施的重要保障和依据。文档可以直接使用,也可根据实际需要修订后使用。 根据《区信息中心关于XX年信息化工作绩效考评工作的通知》的要求,我局对照区电子政务和政务信息化工作目标任务的内容,进行了认真的自查,现将自查情况报告如下: 一、政务信息化工作情况 XX年,我局政务信息化工作以办好政府门户网站和局域网为窗口,推进政务公开为重点,较好地完成了全年的政务信息化工作任 务。 (一)加大政务信息公开的力度。一

年来,我局门户网站围绕区委、区政府的中心工作,认真宣传、贯彻党的宗教工作方针政策,不断充实政务公开内容,提高工作的透明度。及时将政策法规、重大项目完成情况等信息第一时间在政府网站上发布。 (二)狠抓政务信息报送工作。我们根据信息工作的特点,多方捕捉信息,选好角度,精心筛选加工,力求有新意、有特点、有价值、有效应,正确处理好数量与质量的关系,剔除大话、套话和空话,做到一事一报,简明扼要。紧紧围绕全年中心工作,以反映工作动态、突出经验交流为重点, (三)狠抓政府门户网站和政务外网

电子政务云项目建设流程

电子政务云项目建设流程 一、电子政务云项目建设总体环节介绍 电子政务云建设过程应该是一个在及地方相关政策、法规的指导下进行的持续建设、改善的过程。电子政务云项目的建设流程主要分为三个阶段:规划及分析、设计及采购、实施及优化。三个阶段形成项目全生命周期的闭环,对电子政务云进行持续性的完善。 确定 目标 战略 立项 审批 规划及分析阶段的工作容包括: 1、根据及地方政府相关政策、法规确定电子政务云建设的预期目标。 2、确定电子政务云建设的主体容、时间计划、资金预算等整体框架。 3、通过对管理组织流程及业务需求的梳理,形成详细的管理规和需求分析

文档。 4、制定电子政务云发展战略规划。 设计及采购阶段的工作容包括: 1、根据规划分析阶段的成果确定技术路线,与多家供应商进行沟通、交流,分析技术可行性,确定产品技术规。 2、供应商根据需求文档提交项目建议书,并组织产品演示。 3、对供应商所提供的项目建议书进行论证,确保建设容与战略规划方向一致,并编制设计文档,包括可行性研究报告、初步设计、建设方案等文档。 4、根据《电子政务工程建设项目管理暂行办法》、《省信息化工程建设项目管理办法》等政策文件,将项目立项材料报省发改委、省大数据局、省财政厅进行申报与批复。 5、通过谈判、招标等方式确定最终产品供应商,并签订合同。 实施及优化阶段的工作容包括: 1、根据设计文档,制定实施方案,由产品供应商提供产品并部署实施。 2、进行系统测试,形成正式的系统测试报告。 3、进行相关人员培训,系统配置及试运行,系统进一步完善及优化。 4、系统上线,进行交付及验收。后续维护中进行系统评估,持续进行系统优化。 5、与产品供应商进行结算与付款。 二、规划及分析阶段流程介绍 规划及分析阶段的目标是通过第三方管理咨询单位的前期介入,帮助梳理当

中国电子政务建设十年成就

中国电子政务建设十年成就 2001年,国家信息化领导小组召开会议,时任国务院总理的朱镕基主持会议并制定了信息化推进方针,决定以电子政务作为突破口来带动国家信息化的发展。同时中共中央办公厅发布了《国家信息化领导小组关于我国电子政务建设指导意见》,决定把电子政务建设作为当时我国信息化工作的重点,以政府先行带动国民经济和社会发展信息化。 十年进展 经过本世纪前十年的快速发展,我国电子政务以大规模基础设施建设为重点、以重要核心业务系统为突破口,已经取得了阶段性成果。电子政务建设实现了从无到有、从小到大、从起步向深化应用的跨越式发展。主要体现在以下几方面: 网络基础设施基本能满足业务的需要。总体上看,电子政务网络已经覆盖了所有的省(自治区、直辖市)、90%以上的市和80%以上的县。网络基础设施在支撑政府信息安全高效采集、传输、存储、加工等方面发挥着越来越重要的作用。中央国家机关各单位都建成了满足内部办公需要的局域网,多部委建设了本系统专用网络,实现了从中央到地方的联网运行。大多数省份已建成省、市、县三级电子政务网络,基本上覆盖到县级单位。 核心业务信息化覆盖率有很大提高。以办公自动化业务为例,在本世纪初期中央一级单位覆盖率只有10%,目前已达到100%。在《国家信息化领导小组关于我国电子政务建设指导意见》中涉及的“金”字重点工程,如金关、金审、金税、金盾等涉及系统的核心业务信息化覆盖率已达到90%以上,部分工程达到了100%。根据调查结果,东部地区省市一级政府核心业务信息化覆盖率普遍达到80%以上,区县一级政府核心业务覆盖率达到50%以上,但西部地区政府核心业务覆盖率还相对较低。 重要的信息资源数据库建设取得了很大进展,部分基础数据库已经建成。其中,人口数据库已经基本建成,覆盖了全国13亿人口;工商系统建设的企业法人数据库也基本完备;民政部建立的社团机构基本信息库和中编办建立的国家机关事业单位数据库也基本建成;还有统计部门搜集了大量统计信息,建立了统计数据库;测绘局建立了空间地理系统数据库等。 电子公共服务体系从无到有,具有一定规模。中央和省级政府网站普及率达到100%,地市级政府网站普及率达到99%,区县一级政府网站普及率也达到了90%,成为信息公开和网上办事、政民沟通的重要渠道。县级以上各级政府公共服务大厅已经达到4500多个,也是由电子政务信息系统来支撑的。金农工程和农村党员远程教育信息系统对我国农村信息服务体系建设起到了很大作用。目前我国农村信息服务站覆盖率已经超过了70%。 信息安全保障得到高度重视。特别是最近几年各级政府高度重视信息安全保障体系建设,安全基础设施都得到加强,普遍实行了等级保护、安全事件处置预案和演练等措施,同时加大了对信息安全的投入。 在电子政务推进过程中,相关的政策制度和培训方面都得到了重视和加强。 十年成效 对于十年来我国电子政务建设取得的成效,有如下三点: 首先,带来了直接经济效益。一些与金融、税收、海关、审计等经济运行管理直接相关的信息系统建设产生的经济效益十分显著。如金税工程一期投入25亿元,当年就带来税收增加250亿元的效益。在金融监管信息化中,仅反洗钱系统2009年发现的可疑资金交易就超过4000多万份,涉及金额超过800亿元。 从间接经济效益看,通过电子政务提高了行政效率、节约行政成本,如税务、工商等部门,十多年来业务量成倍增加,但公务员数量并没有明显增加。此外,电子政务还提高了行政审批效率,降低了企业经营成本。 其次,信息化使政府行政手段更加丰富,行政行为更加高效。如海关及其所有监管现场

电子政务平台建设方案

天水市政府电子政务平台系统解决方案 中国移动通信集团甘肃有限公司 天水分公司 中国?甘肃?天水二〇一三年七月

合作业务目录 一、项目背景................................................................................................... 错误!未指定书签。 二、电子政务平台服务................................................................................... 错误!未指定书签。(一)天水市政务外网建设方案................................................................... 错误!未指定书签。 1、政务外网建设概述..................................................................................... 错误!未指定书签。 2、政务外网功能............................................................................................. 错误!未指定书签。 3、互联网专线建设......................................................................................... 错误!未指定书签。(1)互联网集团专线业务 ............................................................................ 错误!未指定书签。(2)互联网专线设备需求 ............................................................................ 错误!未指定书签。 (二)电子政务平台外网服务器搭建........................................................... 错误!未指定书签。 1、云主机介绍................................................................................................. 错误!未指定书签。 2、云主机特点................................................................................................. 错误!未指定书签。 3、云主机优势................................................................................................. 错误!未指定书签。(三)电子政务平台应用系统建设............................................................... 错误!未指定书签。 1、电子工单审批平台..................................................................................... 错误!未指定书签。1.1工作台..................................................................................................... 错误!未指定书签。1.2事件受理................................................................................................. 错误!未指定书签。1.3审批结果................................................................................................. 错误!未指定书签。1.4业务统计................................................................................................. 错误!未指定书签。1.5公共信息................................................................................................. 错误!未指定书签。1.6个人事务................................................................................................. 错误!未指定书签。1.7项目管理................................................................................................. 错误!未指定书签。1.8项目与政策依据..................................................................................... 错误!未指定书签。1.9项目与材料............................................................................................. 错误!未指定书签。1.10项目与人员的关联............................................................................... 错误!未指定书签。1.11系统管理............................................................................................... 错误!未指定书签。 2、电子监察平台............................................................................................. 错误!未指定书签。2.1综合监察................................................................................................. 错误!未指定书签。2.2发牌记录................................................................................................. 错误!未指定书签。

相关主题