搜档网
当前位置:搜档网 › 计算机组成原理模型机实验报告材料

计算机组成原理模型机实验报告材料

计算机组成原理模型机实验报告材料
计算机组成原理模型机实验报告材料

实验六计算机系统综合设计与实现

一、实验目的

1、深入理解计算机系统工作的基本原理,建立整机概念。

2、融会贯通计算机组成原理课程的容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。

3、培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。

二、实验要求

1、将已经设计的运算器、存储器和控制器连接,构建完整的计算机系统;

2、编写一段可以实现一定功能的指令程序,进行计算机整机系统功能的验证。

3、所有任务要求功能仿真和必要的验证。实验完成后,一周提交实验报告。

三、实验设备

PC机+ QuartusⅡ10.0 + FPGA(DE2-115)+TEC-8实验箱

四、计算机系统(TEC-8)综合逻辑框图

硬连线控制器控制信号切换电路ALU A端口B端口C Z R0 R1 R2 R3 IR PC AR 双端口RAM DBUS

五、实验任务

1、将实验二的运算器、实验三的存储器和实验五的控制器连接,构建完整的计算机系统;

2、计算机整机系统功能测试,进行功能仿真和时序仿真并在DE2-115上验证。

(1)根据指令系统,编写一段可以实现一定功能的程序,要求:

有一个合理的运算功能和逻辑关系;

指令数量:不少于8条;

指令类型:停机、跳转、RR、读存、写存、算术和逻辑运算;

(2)将指令程序手工汇编成二进制代码;

(3)理论上设置寄存器的初值,并计算程序执行后的结果;

(4)将指令程序的二进制代码存入存储器RAM中;

(5)将需要的运算数据初值存入寄存器R0-R3中;

(6)进行程序连续运行的功能仿真和时序仿真,将仿真运算结果与理论计算结果进行比较。

六、实验步骤

实验电路图

子模块

(1)tri_74244

tri74244.v

module tri_74244 (en,Din,Dout ); input en ;

wire en ;

input [7:0] Din;

wire [7:0] Din ;

output [7:0] Dout ;

reg [7:0] Dout ;

always (en or Din)

begin

if (en)

Dout<= Din ;

else

Dout <= 8'bzzzzzzzz;

end

endmodule

`timescale 1 ps/ 1 ps

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.sodocs.net/doc/914725381.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

复杂模型机实验报告.

信息学院

运行 PC→AR PC+1 RAM→BUS BUS→IR P1 PC→AR PC+1 RS→BUS BUS→DR1 ALU=0→BUS BUS→RD SW→BUS BUS→RD 00(直接)CLR RD→BUS BUS→DR2 DR1+DR2→ BUS→RD 01 01 01 01 02 20 212325 52 53 31 27 RS→RD RS→299 RRC 299→RD RS→299 RLC 299→RD 01 3032 54 55 36 67 70 IN MOV RRC SUC RLC RD→LED 01 STOP 01 26 24 ADC RS→BUS BUS→DR2 RD→BUS BUS→DR1 DR1→DR1 DR1+1→ BUS→DR1 DR1→DR1 DR1+DR2→ BUS→RD 56 57 60 61 RD→BUS BUS→DR1 RS→BUS BUS→DR1 RD→BUS BUS→DR1 35 0101 INC DR1+1→ BUS→RD 01 01 01 34 62 33 RD→BUS BUS→DR2 63 DR1^DR2→ BUS→RD 65 AND 66 PC→AR PC+1 PC→AR PC+1 PC→AR PC+1 20 RAM→BUS BUS→DR1 03 RAM→BUS BUS→AR 04 RAM→BUS BUS→DR1 06 RAM→BUS BUS→AR 05 RAM→BUS BUS→AR 07 40 RAM→BUS BUS→DR1 15 22 RI→DR2 16 DR1+DR2→ BUS→AR 17 DR1+DR2→ BUS→DR1 45 RAM→BUS BUS→DR1 46 PC→BUS BUS→DR2 47 DR1+DR2→ BUS→AR 50 DR1+DR2→ BUS→DR1 51 72 P2 RAM→BUS BUS→RD 40 RD→BUS BUS→RAM 41 DR1→BUS BUS→PC 4243 P3 DR1→BUS BUS→PC 6444 010101 BZC JMP STA LAD 10(变址) 01(间接)11(相对)COM 40 4040 01 01 44 01 Y N P4 PC→AR PC+1 PC→AR PC+1 SW→BUS BUS→DR1 DR1→RAM RAM→BUS BUS→DR1 DR1→LED 01 00 11 14 74 10 12 73 13 WRITE(01)READ(00)RUN(11) SW B 10 →B U S B U S→R D 1 DR DR1→DR1 37 71 SWA 图2-8复杂模型机微程序流程图 H L T A OUT 六、实验结果: (1)取in指令送IR: (2)采集从数据开关输入的数据07H并送R0:

建筑模型制作实验报告

建筑模型制作实验报告 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

学生实验报告 (理工类) 课程名称:规划设计模型制作专业班级:城乡规划 学生学号:学生姓名: 所属院部:建筑工程学院指导教师:刘琰 2014——2015学年第 2 学期 金陵科技学院教务处制

实验项目名称:江宁校区总体规划模型制作实验学时:24学时 同组学生姓名: 实验地点:实验楼B203 实验日期:实验成绩: 批改教师:刘琰批改时间: 一、实验目的和要求 目的:1、学习利用规划模型分析总平面的布局 2、学习规划模型的制作方法 要求:在读懂图纸的基础上,通过对空间、功能、结构、环境、流线、体量、外观、平面到剖面、几何关系、基本形状、逻辑关系等方面进行总体分析, 理清建筑平面和空间的组成关系,理清建筑与道路的关系,最后完成规划 模型的制作。 二、实验仪器和设备 1.测绘工具 三棱尺(比例尺) 、直尺、三角板、弯尺 (角尺) 、圆规、游标卡尺、蛇尺等。 2.剪裁、切割工具 勾刀、刻刀、裁纸刀、角度刀(45o) 、切圆刀、剪刀、手锯、钢锯、电磨机、电热切割器等。 3.打磨喷绘工具 砂纸、锉刀、什锦锉、木工刨、台式砂轮机。 4.粘合剂 三、实验过程

第一次模型制作实验课在工科楼模型教室,之前老师在多媒体教室跟我们讲解了模型制作的工具,材料等基本知识,发任务书。 这一次在模型教室老师带我们参观了一下往届做的模型,看到学姐学长的作品时,感觉有点震惊,稍微有点不自信,但是在我们仔细参观与讨论我们自己组用的材料与制作流程后,我立马又斗志昂扬了起来。参观完往届作品后,我们确定小组成员,小组开始确定制作模型所需的材料,大致分配了任务,男生做模型,女生做细节部分。我们组的组员经过积极热烈的讨论,初步确定了地形,草,建筑的材料,地形采用灰色纸板,草为普通草皮,多数建筑为PVC板为骨架,少部分为泡沫,同时大概制定了制作流程与方案。 方案确定后,我们小组成员在第二天就全部出发去购买制作模型所需的材料,我们按着讨论后的清单购买,包括灰色的卡纸、厚泡沫板、薄木板、PVC板、树粉、树干,草皮,胶水等一系列材料。 感悟:在此次购买中,我们小组有着很激烈的讨论,虽然在昨天已确定好清单,但是到了店里发现我们考虑的还是不够周全。 第二次模型制作实验课我们通力合作,用木板做底将买来的厚泡沫板做第二层底,上面再铺一层厚的PVC板,层与层之间用双面胶与泡沫胶粘合。其实我们在黏板的事先并没想好用什么黏,我们是在仔细观察了其他的组用的粘合材料后经过比较后讨论决定的,这也算取长补短了。我们一边黏一边试试粘合的效果,感觉比较结实。然后用复写纸将打印好的cad 地形描到买好的灰色卡纸上,而我则负责将地形上的绿地剪出来,作为之后剪草皮的模板。这是一件费时费力的工作,因为老师给我们的学校地形

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

复杂模型机

计算机组成原理实验报告 题目复杂模型机设计实验 专业计算机科学与技术 姓名张蕾 学号 1310632

目录 一、实验目的 二、实验原理 1 数据格式 2 指令格式 三、实验内容 四、实验代码设计思想 1 机器程序设计 2 微代码设计 五、实验代码 六、实验接线图 七、实验总结

一、实验目的 (1)综合运用所学计算机原理知识,设计并实现较为完整的计算机。 (2)加深对计算机各组成部件之间的相互关系以及指令系统设计方法的理解。 二、实验原理 CPU由运算器(ALU),微程序控制器(MC),通用寄存器(R0),指令寄存器(IR),程序计数器(PC)和地址寄存器(AR)组成,通过写入相应的微指令后,就具备了执行机器指令的功能。 1.数据格式 模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤2^8-1。 2.指令设计 该复杂模型机设计包含运算类指令、控制类指令、数据传输类指令三大类指令。 (1)运算类指令仅用到了算术运算,算术运算设计有 3 条运算类指令,分别为:ADD(两寄存器值加法)、INC(寄存器值自加1)、SUB(两寄存器值减法),所有运算类指令都为单字节,寻址方式采用寄存器直接寻址。 (2)控制转移类指令有三条HLT(停机)、JMP(无条件跳转到指定的指令地址)、BZC(判断寄存器内容是否为0,为0则跳转到指定的指令地址),用以控制程序的分支和转移,其中HLT为单字节指令,JMP 和BZC 为双字节指令。 (3)数据传送类指令有IN、OUT、LDI、LAD、STA 共5 条,用以完成寄存器和寄存器、寄存器和I/O、寄存器和存储器之间的数据交换,均为双字节指令。 3.指令格式 所有单字节指令具有相同的指令格式,如下图所示: 7654 32 10 OP-CODE RS RD 其中4位OP-CODE为操作码,2位RS为源寄存器,2位RD为目的寄存器,并规定: RS或RD 选定的寄存器 00 R0 01 R1 10 R2 11 R3 IN和OUT的指令格式为: 7654 32 10 7~0 OP-CODE RS RD P IO地址空间被分为4个区如表所示: A7A6 选定地址空间 00 IOY0 00~3F 01 IOY1 40~7F

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

计算机组成原理 实验八 简单模型计算机实验

实验八 简单模型计算机实验 一、实验目的 1)通过实验分析简单模型机结构,了解计算机的工作原理。 2)掌握计算机微程序控制器的控制方法,掌握计算机指令执行过程 二、实验原理 基本整机模型数据框图如图所示,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 数据的通路从程序计数器PC的地址送到主存的地址寄存器,根据地址寄存器的内容找到相应的存储单元。 存储器中的数据是指令时,那么数据是从RAM送到总线,再从总线送到IR 中。 存储器中的数据是需要加工的数据时,那么数据是从RAM送到总线,再动总线送到通用寄存器中等待加工。 数据加工过程中,两个数据是从总线上将数据分别分时压入两个暂存器中,等待运算部件的加工,在数据加工完成以后。运算结果是通过三太门送到总线上。 三态门的控制时由微控制器来控制。

图:模型机的数据通路图 三、实验过程 1.连线 按实验逻辑原理图连接以下控制信号。 1)时钟单元(CLOCK UNIT)的T1-T4接到微程序控制单元(MAIN CONTROL UNIT)

的T1-T4. 2)手动控制开关单元(MANUAL UNIT)的KA ,KB接到指令单元(INS UNIT) 的KA,KB。 3)指令单元(INS UNIT)的J(1)-J(5)、SE6-SE0、B-IR 接到的微程序控制单 元(MAIN CONTROL UNIT)的J(1)-J(5)、SE6-SE0、B-IR。 4)输入/输出单元(INPUT/OUTPUT UNIT)IO-W,IO-R接到微程序控制单元(MAIN CONTROL UNIT)的IO-W,IO-R,Ai接到地址单元(ADDRESS UNIT)的A0. 5)主存储器单元(MEM UNIT)M-W、M-R接到微程序控制单元(MAIN CONTROL UNIT)的M-W、M-R,A7-A0 接到地址单元(ADDRESS UNIT)的A7-A0. 6)地址单元(ADDRESS UNIT)的B-AR、B-PC、PC+1、PC-B接到微程序控制单元 (MAIN CONTROLUNIT)的B-AR、B-PC、PC+1、PC-B. 7)通用寄存器单元(REG UNIT)的B-R、R0-B 接到微程序控制单元(MAIN CONTROL UNIT)的B-DR、DR-B。 8)把算术逻辑单元(ALU UNIT)的B-DA1、B-A2、ALU-B Cycn、CyNCn、S3-S0、 M、Ci接到微程序控制单元(MAIN CONTROL UNIT)的B-DA1、B-A2、ALU-B、Cycn、CyNCn、S3-S0、M、Ci。 2.写入、检验微代码 这项操作与实验七的操作过程相同 3.装入机器指令汇编程序操作 1)微程序控制单元(MAIN CONTROL UNIT)编程开关置于“RUN”状态。 2)手动控制开关单元(MANUAL UNIT)的“STEP/RUN”开关置于“STEP”状态。 3)手动控制开关单元(MANUAL UNIT)的KA,KB开关置于1、0写主存储器状 态。 4)拨动开关从11—0—1,产生一个负脉冲,清零程序计数器PC、微地址 寄存器。 5)拨动“START”按钮一次,从微程序控制存储器的00H微地址开始执行微指 令,暂停在0AH微地址处。 6)此时在输入数据开关上拨入实验用机器指令汇编程序表中对应00H地址的

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

简单模型机实验报告

评语:课中检查完成的题号及题数: 课后完成的题号与题数: 成绩:自评成绩: 实验报告 实验名称:__________ 简单模型机实验报告____________ 日期: _________________ 班级:学号:姓名: -、实验目的: 1掌握一个简单CPU的组成原理。 2、在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 3、为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 二、实验内容: 本实验要实现一个简单的CPU并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU由运算器(ALU、微程序控制器(MC、通用寄存器(R0,指令寄存器(IR)、程序计数器(PC和地址寄存器(AR组成,如图2-1-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。

图1-4-1基本CPU 构成原理图 除了程序计数器(PC ,其余部件在前面的实验中都已用到,在此不再讨论。系统 的程序计数器(PC 由两片74LS161和一片74LS245构成,其原理如图1-4-2所示。PC_B 为三态门的输出使能端,CLR 连接至CON 单元的总清端CLR 按下CLR 按钮,将使PC 清 零,LDPC 和T2相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU 内总线上的数据打入PG 图1-4-2程序计数器(PC )原理图 本模型机和前面微程序控制器实验相比,新增加一条跳转指令 JMP 共有五条指令: OUT (输出)、JMP (无条件转移),HLT (停 机), 其指令格式如下(高4位为操作码): 助记符 机器指令码 说明 IN 0010 0000 IN — R0 ADD 0000 0000 R0 + R0 — R0 OUT 0011 0000 R0 — OUT JMP addr 1100 0000 ******** addr — PC HLT 0101 0000 停机 址码。微程序控制器实验的指令是通过手动给出的,现在要求 CPU 自动从存储器读取指 令并执行。根据以上要求,设计数据通路图,如图 1-4-3所示。 IN (输入)、ADD (二进制加法)、 其中JMP 为双字节指令,其余均为单字节指令, ******** 为addr 对应的二进制地 LDPC T2 CLR LOAD

计算机组成原理课程设计模型机实验报告 精品

实践报告 计算机组成原理--模型机设计报告 作者姓名: 专业:计算机科学与技术 学号: 指导教师: 完成日期:年月号 ******学院 计算机工程系

摘要 “计算机组成原理”是计算机科学与技术系的一门核心专业基础课程,在计算机专业中起了很重要的作用。课程中分部分介绍了计算机的各个部件,我们有必要将它们组合起来以对计算机有一个整体的认识。这次课程设计通过对一个简单模型机的设计与实现,是我们对计算机的基本组成、部件的设计、部件间的连接有更深的理解。依次设计计算机的几个部件并进行连接使成为一个完整的模型机。通过运行和调试,使之正常工作。 关键词:运算器;控制器;存储器;输入输出接口;模型机

正文: 一、课设目的要求: 《计算机组成原理》是一门理论性、实践性均较强的专业基础课,要求学生具有一定的电路分析、指令系统编写能力、软件设计能力。通过计算机组成原理实践周,要突出《计算机组成原理》理论联系实际的特点,培养实践动手能力。 1.培养学生运用理论知识和技能,构建建立问题逻辑结构,锻炼学生分析解决实际 问题的能力。 2.培养学生使用PROTEUS软件分析和设计计算机内部器件的方法和技巧。 3.培养学生调查研究、查阅技术文献、资料、手册以及编写技术文献的能力。 4.通过实践设计,要求学生在指导教师的指导下,独立完成设计课题的全部内容, 包括: (1)通过调查研究和上机实习,掌握PROTEUS软件的设计和仿真调试技能。 (2)掌握计算机系统的组成结构及其工作原理。 (3)设计实现一个简单计算机的模型机,并能够使用PROTEUS软件进行电路仿真验证 二、课设内容: 利用所学的计算机结构和工作原理的知识,要求学生独立完成简单计算机的模型机设计,并用PROTEUS软件进行验证。在分析设计过程中,要求学生养成良好的习惯,学会分析实际问题,并利用所学的知识建立系统的逻辑结构,学会PROTEUS调试技巧和方法,通过逻辑设计和工程设计培养调试硬件电路的实际动手能力。要求学生掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法;锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。 三、课设设备: 计算机组成原理教学实验系统及电脑一台。 四、模型机组织结构: 组织结构分为运算器控制器存储器输入输出接口。 运算器是数据的加工部件,是CPU的重要组成部分。基本结构中必须有算数/逻辑运算单元、数据缓冲存储器、多路转换器和数据总线等逻辑构件。控制器是计算机的指挥中心,负责决定执行程序的顺序,给出执行指令时机器各部件需要的操作控制命令,由程序计数器、指令寄存器、指令译码器、时序产生器组成。存储器用来

计算机组成原理--实验报告

实验一寄存器实验 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。 实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。74HC574 的功能如下: - 1 -

实验1:A,W 寄存器实验 原理图 寄存器A原理图 寄存器W 原理图连接线表: - 2 -

- 3 - 系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 在后面实验中实验模式为手动的操作方法不再详述. 将55H 写入A 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 55H 置控制信号为: 按住STEP 脉冲键,CK 由高变低,这时寄存器A 的黄色选择指示灯亮,表明选择A 寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据55H 被写入A 寄存器。 将66H 写入W 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H

置控制信号为: 按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。 注意观察: 1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。 2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。 实验2:R0,R1,R2,R3 寄存器实验 连接线表 - 4 -

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

计算机组成原理模型机设计与实现

武汉华夏理工学院 课程设计 课程名称计算机组成原理 题目模型机设计与实现 专业 班级 姓名 成绩 指导教师田小华 2016 年12 月27 日

武汉华夏理工学院信息工程系 课程设计任务书 课程名称:计算机组成原理指导教师:田小华 班级名称:开课教研室:软件与信息安全 一、课程设计目的与任务 理解计算机系统各个功能部件的功能、结构和工作原理,正确理解各功能部件之间的相互关系及其在计算机系统中所起的作用;掌握计算机系统各个功能部件的设计和分析技术,包括数据与指令的编码、存储器、运算器、输入输出接口等。 在此基础上,使学生通过理论与实践的结合,利用基本模型计算机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握中央处理器的基本结构和控制流程,掌握机器指令执行的基本过程,熟悉微程序控制器的基本结构和微程序设计技术的主要技巧,理解一条机器指令与一段微程序的关系,明确高级语言指令与微指令的对应关系,充分理解控制信息流利用数据通路完成对数据流的加工处理的过程。 通过课程设计,使学生将所学专业知识综合运用,在实践活动中积累经验,增长才干,训练学生独立工作能力,激发学生的学习热情,培养学生的自主创新精神,养成务实严谨的工作作风。 二、课程设计的内容与基本要求 1.按给定的数据格式、机器指令格式和微指令格式,利用基本的数字逻辑器件,设计—台微程序控制的模型计算机。 2.设计五条机器指令:IN,ADD,STA,OUT,JMP,并用微指令编写微程序,实现每条机器指令的功能。 3.在TD-CMA教学实验平台上实现基本模型机方案: ⑴建立数据通路,定义开关SWA及SWB的功能;⑵确定微程序控制流程,掌握控制台操作;⑶输入编写的五条机器指令的微程序序列;⑷输入实验机器指令程序序列;⑸设计基本模型的物理连线;⑹完成微程序的调试,实现实验机器指令程序的功能。 完成模型机调试任务后,整理课程设计资料,撰写课程设计报告。课程设计报告内容包括: ①模型机数据通路图;②微程序控制器逻辑模块图;③微程序控制流程图;④元件排列图; ⑤设计说明书;⑥调试小结。 三、课程设计步骤及时间进度和场地安排 《计算机组成原理》课程设计将安排在第17周, 地点在信息系实验楼523教室。具体安排如下:1.第17周周1(1节)(12月26日) :集中讲解课程设计原理与方法,3-203教室 2.第17周周1(2--4节):完成模型机的实验线路连接

模型机实验报告

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师:程旭辉附小晶 实验室名称:计算机专业实验中心 一、实验名称:复杂模型机的设计与实现 二、实验目的:

1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统。 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW-48CPP系列计算机组成原理实验系统。 四、实验原理: 1.数据格式 8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令。 (1)算术逻辑指令 设计9条算术逻辑指令并用单字节表示,采用寄存器直接寻址方式,其格式如下: 其中, (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC) 其中,OP-CODE指令)。D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2。 (3)I/O指令 输入(IN)和输出( 其中,addr=01时,选中“OUTPUT DEVICE”中的LCD

点阵液晶屏作为输出设备。 (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其

本模型机的数据通路框图如图7-1。根据机器指令系统要求,设计微程序流程图及确定微地址,如图7-2。 图7-2 微程序流程图 五、实验内容: 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操作时的十六进制格式文件。 微代码定义如表7-1所示。

计算机组成原理模型机实验报告

实验六计算机系统综合设计与实现 一、实验目的 1、深入理解计算机系统工作的基本原理,建立整机概念。 2、融会贯通计算机组成原理课程的容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。 3、培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。 二、实验要求 1、将已经设计的运算器、存储器和控制器连接,构建完整的计算机系统; 2、编写一段可以实现一定功能的指令程序,进行计算机整机系统功能的验证。 3、所有任务要求功能仿真和必要的验证。实验完成后,一周提交实验报告。 三、实验设备 PC机+ QuartusⅡ10.0 + FPGA(DE2-115)+TEC-8实验箱 四、计算机系统(TEC-8)综合逻辑框图 硬连线控制器控制信号切换电路ALU A端口B端口C Z R0 R1 R2 R3 IR PC AR 双端口RAM DBUS 五、实验任务 1、将实验二的运算器、实验三的存储器和实验五的控制器连接,构建完整的计算机系统;

2、计算机整机系统功能测试,进行功能仿真和时序仿真并在DE2-115上验证。 (1)根据指令系统,编写一段可以实现一定功能的程序,要求: 有一个合理的运算功能和逻辑关系; 指令数量:不少于8条; 指令类型:停机、跳转、RR、读存、写存、算术和逻辑运算; (2)将指令程序手工汇编成二进制代码; (3)理论上设置寄存器的初值,并计算程序执行后的结果; (4)将指令程序的二进制代码存入存储器RAM中; (5)将需要的运算数据初值存入寄存器R0-R3中; (6)进行程序连续运行的功能仿真和时序仿真,将仿真运算结果与理论计算结果进行比较。 六、实验步骤 实验电路图 子模块 (1)tri_74244

基本模型机实验

基本模型机实验 实验目的: 理解微程序结构CU设计方法 理解机器指令与微程序之间的关系 掌握简单模型机CU的设计方法。 实验原理: 1.基本模型机使用的实验台模块 基本模型机应包括计算机系统的五大部件,相应地,在使用实验台构造模型机时,涉及到以下模块,必须完成其数据线(扁缆)和各种控制信号线的连接。 运算器模块– ALU; 指令部件模块、时序启停控制模块、微程序模块- 这些模块合在一起,相当于计算机系统中的CU; 存储器模块- 可存储机器指令,用于验证模型机的运行情况; 输入模块– 通过开关输入二进制数据; 输出模块– 通过LED显示从数据总线上输出的八位数据。 2.基本模型机制持的指令系统 实验台支持的基本模型机实验中,模型机支持的指令集包括五条指令,其机器指令形式如下: 助记符机器指令格式操作 IN R0,SW 0010 0000 将输入模块开关输入值送入寄存器R0 ADD R0,[addr] 0100 0000 xxxxxxxx 双字节指令,第二字节为访存操作数 的地址。 STA [addr],R0 0110 0000 xxxxxxxx 同上。将R0寄存器的值存入地址addr 对应的内存单元中。 OUT[addr],LED 1000 0000 xxxxxxxx 同上。将内存地址addr对应单元的内 容输出到输出模块,由LED显示。 JMP addr 1010 0000 xxxxxxxx 同上。给PC赋值addr,控制程序跳 转到addr处执行。 3.微程序设计 基本模型机中实现CU控制的微程序共有6段,分别是取指周期微程序,和五条机器指令各自的执行周期微程序。其结构如下图所示: 其中,需要说明的是条件P(1),这个测试出现在取指周期结束时,系统需要根据机器指令的操作码字段(机器指令的前三位)进行散转,分别转入相应的执行周期微程序中执行。

复杂模型机实验报告

复杂模型机实验报告 篇一:复杂模型机实验报告 信息学院 篇二:复杂模型机的组成与运行实验报告 内蒙古师范大学计算机与信息工程学院 《计算机组成原理》 课程设计报告 题目十五:复杂模型机的组成与运行 目录 1[ 任务描述] ............................................... ................................................... (2) 1.1 题目名称 ................................................ ................................................... ................................... 2 1.2 要求 ................................................ ................................................... ..................................... 2 1.3 实验目的 ................................................

................................................... .................................. 2` 2 [设计设备] ............................................... ................................................... ................ 2 3 [设计原理和方法] ............................................... ................................................... . (2) 3.1 设计原理 ................................................ ................................................... ................................... 3 ................................................ ................................................... ................................ 3 ................................................ ................................................... ................................ 3 ................................................ ...................................................

相关主题