搜档网
当前位置:搜档网 › 计算机组成原理实验报告

计算机组成原理实验报告

计算机组成原理实验报告
计算机组成原理实验报告

计算机组成原理实验报告

姓名:

专业:计算机科学与技术

学号:

计算机组成原理实验(一)

实验题目:时标系统的设置和组合 成绩:

一、实验目的

1、了解时标系统的作用

2、会设计、组装简单的时标发生器 二、实验内容

参照时标系统的设计方法,用组合逻辑方法设计一个简单的节拍脉冲发生器,产生图1-6所示的节拍脉冲,并用单脉冲验证设计的正确性。在实验报告中画出完整电路,写出1W 、0W 和1N 的表达式。

图1-6 简单的节拍脉冲发生器一周期的波形

设计提示:

1、由波形图求出节拍脉冲1W 和0W 的表达式,进而组合成1N 的表达式。

2、注意节拍电平1T 和0T 的翻转时刻应在0M 下降沿与M 的上升沿同时出现的时刻。

3、注意D 触发器的触发翻转要求。 三、实验仪器及器材

1、计算机组成原理实验台和+5V 直流稳压电源

2、集成电路由附录A “集成电路清单”内选用 四、实验电路原理(实验电路原理图)

时标系统主要由时钟脉冲发生器、启停电路和节拍脉冲发生器三部分组成成,结构如图1-1所示。

图1-1 时标系统组成

1、时钟脉冲发生器

主要由振荡电路、分频电路组成,其作用是产生一定频率的时钟脉冲,作为计算机中基准时钟信号。如图1-2所示。

图1-2 时钟脉冲发生器组成

2、启停电路

计算机是靠非常严格的节拍脉冲,按时间的先后次序一步一步地控制各部件工作的,所以,机器启停的标志是有无节拍脉冲,而控制节拍脉冲按一定的时序发生和停止,不能简单地用电源开关来实现。如图1-3所示。

图1-3 简单的启停电路

为了使机器可靠地工作,要求启停电路在机器启动或停机时,保证每次从规定的第一个脉冲开始启动,到最后一个脉冲结束才停机,并且必须保证第一个和最后一个脉冲的波形完整。如图1-4所示。

图1-4 利用维持阻塞原理的启停电路

3、节拍脉冲发生器

节拍脉冲发生器的作用是产生一序列的节拍电平和工作脉冲。节拍电平是保证计算机微操作的时序性,工作脉冲是各寄存器数据的打入脉冲。本课程整机实验中一个周期的节拍脉冲波形如图1-5所示。其中的工作脉冲1m ~8m ,由节拍电平1Q ~4Q 与时钟脉冲m 按组合逻辑的方法组合得到,表达见图1-5中右侧列表所示。

图1-5 一个周期的工作脉冲波形

五、实验步骤

按照实验内容设计并连接电路,输入脉冲信号,观察灯的亮灭情况,并用单脉冲进行检验。 六、实验内容记录(数据、图表、波形、程序设计等)

实验电路如图:

输入脉冲后,三个指示灯按规则亮灭。

W1=T1×M0×M

W2=T0×M0×M

N1=W1+W2

七、

实验成功的产生了要求的信号。

第一次做计组实验,对器械不熟悉,做起来耗时较长,以后要多加练习。

计算机组成原理实验(二)

实验题目:总线传输技术 成绩:

一、实验目的

1、了解总线的工作原理

2、掌握总线的传送技术

3、熟悉建立总线的器件特性 二、实验内容

1、根据图2-2所示的实验方案,如果要通过“输出显示”观察到“RAM 地址寄存器(AR )”中的数据,请选用适当元器件设计实现。画出实验电路逻辑图,并组装成电路。

2、在设计的电路上实现下列手动单功能操作,并写出操作步骤: (1)开关数据 → 输出显示;

(2)开关数据 → RAM 地址寄存器(AR ); (3)RAM 地址寄存器(AR )→输出显示; 设计提示:

用不同的开关控制各个寄存器,并用不同的脉冲对寄存器实现数据打入。 三、实验仪器及器材

1、计算机组成原理实验台和+5V 直流稳压电源

2、74LS244、74LS273

四、实验电路原理(实验电路原理图)

计算机全部工作过程,可以看成是信息的传送和加工过程;信息传送在机器内部是极为频繁的,为减少机器中的信息传输线、节省器件,提高传送能力及可靠性,采用总线方法是必不可少的,建立总线的基本原则是①互斥性:挂总线的各总线驱动器(发送端)必须具有分时操作的可能性,不允许在同一总线上同时有两个发送源发送信息。②一致性:同一总线中所用挂总线的器件类型要一致;通常用做总线的器件有两种:OC 门和三态门,前者负载能力较小,只能用于小规模的传送应用中;而三态门是目前应用较多的总线传送器件。在这类型件中,最常见的有74LS244、74LS245,另外如74LS373、INTEL8212等器件也都可直接与总线相连。

下面介绍一种总线实验方案,如图2-1所示:

图2-1 总线传送技术实验框图(例)

上图所示为一个小型总线传送系统,共有五个部件在同一总线上,其中A 、C 为总线的发送部件,D 、E 接收部件,B 部件可双向传送,既可作发送端,也可作接受端。因此在同一总线上共挂上三个传送源,但在同一时间只允许传送一个发送端发送的信息,例如0t 时可以A →B 、D 、E ,1t 时可传送从C →D 、E 、B 。但绝不能在同一时刻,例如2t 时,同时作A →D 、B →E 的信息传送,也就是说建立总线必须遵循互斥性原则。在此本实验中A 、B 、C 、D 、E 均采用三态传输器件。因此上图的总线设想可转化为图2-2所示的实现方案。

从图中可看出,地址信息及数据信息都是通过同一组数据开关经三态传输门挂上总线,

再发送相应的部件的。要区分送入总线的信息是地址还是数据,可以通过对操作时序的控制来实现,本实验由于地址值及内容数据都是通过数据开关人工加载的,因此区分总线上的地址和数据信息也就是人为地操作总线上的某些芯片,打入或读出信息。

图2-2 总线传送技术实验方案(例)

1、八三态驱动门74LS244

内部功能结构见图2-3所示,每芯片装两组。

图2-3 74LS244内部功能结构

2、八D 触发器74LS273

内部功能结构如图2-4所示。

图2-4 74LS273内部功能结构

五、实验步骤

按照实验内容设计并连接电路,

1、Bus K >>置零,从D3D2D1D0端输入要储存的数据,A1从0置1.,A2置零。观察输出结果。

2、A2置一,Bus K >>、A1、Bus R >>置零,输入端输入数据。

3、Bus K >>置一,Bus R >>、A2置零,A1从0置1,输出端输出数据。

六、实验内容记录(数据、图表、波形、程序设计等)实验电路如图:

七、实验结果分析、实验小结

按步骤操作后,输出与输入相吻合。

计算机组成原理实验(三)

实验题目:RAM存贮器成绩:

一、实验目的

1、了解半导体静态存贮器

2、掌握RAM存贮器的读写操作

二、实验内容

完成下列设计任务,画出电路逻辑设计图,并写出对存贮器单个地址的读、写操作过程:设计一个容量为256×4 bit的存贮器并完成单个存贮单元的读写操作,选5个不连续的存贮单元地址操作。

设计提示:

用一片74LS273作为存贮器的地址寄存器,可再用一片同样的芯片作为存贮器数据输出缓冲器,只用其中的4位即可。

三、实验仪器及器材

1、计算机组成原理实验台和+5V直流稳压电源

2、74LS244、M2114、74LS273

四、实验电路原理(实验电路原理图)

1、M2114内部功能结构

M2114由以下几个部分组成,如图3-1所示:

图3-1 M2114 SRAM器件的内部逻辑及引脚图

(1)存贮体——它是一个32行×32列×4bit的存贮器阵列,用以寄存信息代码。

(2)译码器——采用X-Y矩阵译码,因此它分为行译码和列译码两部份,分别对行、列地址进行译码。

(3)读写电路——把代码信号从存贮体中读出并放大,使与TTL相兼容,而写电路把代码写入存贮体。

(4)控制器——接收读、写命令,并发出控制,以接收或发送其数据信息, (5)三态输入输出缓冲器——由控制线控制,以接收或发送其数据信息。

2、M2114的读操作:

(1)把所送单元的地址送到地址输入端0A ~9A 。 (2)把读写控制电平WE 置“1”,即1WE =。

(3)置片选控端0CS =。

(4)经一定的延迟后,从1I O ~4I O 上获得所要的数据。

3、M2114的写操作:

(1)把要写入单元的地址送到地址线0A ~9A 。 (2)置0WE =。

(3)把要存入的数据置在数据线1I O ~4I O 上。

(4)置片选0CS =,则经一定延时后,数据就被写入指定的存贮单元中。

4、M2114器件应用举例 (1)RAM 的字长扩展

一片M2114器件的单元数为1k (1024),每个单元的字长为4位,若需要字长为8位或16位的SRAM 存贮器,就要用2片或4片器件组合而成。这种扩展方法只需把两片或四片器件对应的地址线0A ~9A ,CS 、WE 等信号并联即可,它们的数据线加在一起就可组成一个8位或16位的SRAM 存贮器。同理,可以很方便地把字长扩展到4×N 位,其中N 为M2114器件数。

图3-2所示为1k ×8位的SRAM 逻辑图。 (2)RAM 的容量扩展

一片M2114的地址线有10根0A ~9A ,故其最大容量为1021024=即1k ,现若希望SRAM 的容量为4k ,其构成的方法如下:

A 、4k 共需12根地址线,即0A ~11A ,因为12240964k ==,共需要4片M2114。

B 、由于M2114仅有0A ~9A 位地址,每片1k ,因此只需把12位地址中的高二位11A ~10

A 经一片2-4线译码器(如74LS139)译成四个状态,分别去控制每一片的CS 端,即可达到扩充4k 的目的。采用此方法,只需要适当配置译码电路,就可以把SRAM 存贮器的容量以1k 为模任意加以扩充。

图3-2 1k×8位的M2114五、实验步骤

按照实验内容设计并连接电路,

对单个存贮器地址的写操作如下:

K>>=1,CS=1

1、Bus

K>>=0

2、Bus

3、输入端D3D2D1D0输入地址(0H~15H),打入MAR

4、输入端D3D2D1D0输入数据

5、W/R=0

6、CS=1→0→1

7、返回3,写下一个数据

读操作如下:

K>>=1,CS=1

1、Bus

K>>=0

2、Bus

3、输入端D3D2D1D0输入地址(0H~15H),打入MAR

K>>=1

4、Bus

5、W/R=1

6、CS=1→0

7、输出数据

8、CS=0→1

9、返回2,读下一数据

六、实验内容记录(数据、图表、波形、程序设计等)实验电路如图:

Adress1Adress2Adress3Adress4Data1Data2Data3Data4 00011110 00101101 01001011 10000111七、

按步骤操作后,输出与地址相吻合。

计算机组成原理实验(四)

实验题目:总线半导体静态存贮器成绩:

一、实验目的

1、熟悉挂总线的逻辑器件的特性和总线传送的逻辑实现方法

2、掌握半导体静态存贮器的存取方法

二、实验内容

1、根据实验方案框图,调用PC模块,选用适当元器件,画出实验电路逻辑图,并组装成电路。

2、在电路上实现下列手动单功能操作,(控制信息可用电平开关输出电平)。

设计提示:

1、利用实验箱中提供的总线接口搭接总线结构,各器件再分别挂到总线上。

2、用一片74LS273作为存贮器的地址寄存器。

3、PC模块可看作一个透明的元件,用来产生连续的存贮器地址,其数据置入端和计数输出端已经在内部挂接到总线上。

三、实验仪器及器材

1、计算机组成原理实验台和+5V直流稳压电源

2、器件由附录A“集成电路清单”内选用

四、实验电路原理(实验电路原理图)

在单总线结构的计算机中,其地址和数据都是通过同一组数据开关及三态传输门挂上总线,发送到相应计算器、地址寄存器或存贮器单元。怎样区分送入总线的信息是地址还是数据,这可通过控制操作的时序来实现。计数器可选用74LS161和74LS244构成可预置计数器,并具有双向传送逻辑功能,即可以从总线上接受信息,也可以发送信息到总线上,而缓冲器及地址寄存器仅是接收总线信息的一个部件。本实验的逻辑电路方案如图4-1所示:

芯片逻辑图介绍

同步四位计数器74LS161及字长扩展

图4-2 74LS161字长扩展

图4-2中:

D 、C 、B 、A ——输入(D 为高位,A 为低位)

; D Q 、C Q 、B Q 、A Q ——输出(D Q 为高位,A Q 为低位)

; PT ——使能(置数或计数为高) LD ——操作模式(置数低,计数为高);

CK ——置数或计数脉冲;

n C ——动态进位输出n C =D C B A Q Q Q Q ; CR ——清除。

五、实验步骤

按照实验内容设计并连接电路, 1、K →B 、A

Bus K >>=1,Bus PC >>=1,CS=1,LD=1 Bus K >>=0,LD=0

输入端D3D2D1D0输入地址(0H~15H ),打入MAR LoadMAR ,LoadPC

Bus K >>=1,LD=1

2、K →RAM

Bus K >>=1,Bus PC >>=1,CS=1,LD=1 Bus K >>=0

输入端D3D2D1D0输入数据(0H~15H ),打入MAR W/R=0,CS=1→0→1

Bus K >>=1

3、RAM →Bus

Bus K >>=1,Bus PC >>=1,CS=1,LD=1

K→PC,MAR

W/R=0,CS=1→0

LoadC

CS=0→1

4、B+1→A

PC>>=1,CS=1,LD=1

K>>=1,Bus

Bus

LoadPC

PC>>=0

Bus

LoadMAR

PC>>=1

Bus

六、实验内容记录(数据、图表、波形、程序设计等)

实验电路如图:

Adress1Adress2Adress3Adress4Data1Data2Data3Data4 00011110 00101101 00111011

七、

按步骤操作后,输出与地址相吻合。

计算机组成原理实验(五)

实验题目:运算器 成绩:

学生姓名:xxx 学号:20081060058 指导教师:xxxxxx 学院名称:xxxxxxxxx 专业:计算机科学与技术 年级:08级

实验时间:xxxxxxx 实验室:xxxxxx

一、实验目的

1、熟悉运算器部件的基本组成

2、了解74LS181 ALU 器件的功能及使用方法 二、实验内容

1、74LS181 ALU 功能检测:

[根据表5-2:74LS181的32种算术、逻辑功能。]

(1)先设计功能检测的详细控制逻辑电路图,A 、B 两操作数均为4位,分别个数据开关直接送入。

(2)3S ~0S 、n C 、M 这6个控制码,除了n C 、M 可直接用开关控制外,3S ~0S 可用4位二进制计数器的16个状态来实现。

(3)制定一张设计好

、两操作数的内容及运算后应得结果,以便实验时加以对照。

设计提示:

1、ALU 是组合逻辑电路,它的2个操作数分别用4个数据开关直接产生,运算结果也直接送输出显示。

2、ALU 操作指令中的3S ~0S 用74LS161顺序产生,n C 和M 用开关产生。

三、实验仪器及器材

1、计算机组成原理实验台和+5V 直流稳压电源

2、74LS181、74LS161

四、实验电路原理(实验电路原理图)

运算器是CPU 的一个主要部件,它通常由算术单元(ALU )和一些寄存器组成,ALU 单元对操作数进行各种运算(加、减、乘、除)和逻辑运算。在ALU 单元中,其核心部分是一个二进制加法器,一般它由N 位全加器组成,为了提高运算速度,通常在级间都采用超前进位逻辑,若在此基础上,再增加一些控制线及控制逻辑,就能大大扩展各种逻辑功能而成一个功能发生器。目前具有代表性的此类ALU 器件有74LS181、74LS881等。

74LS181器件可对两个4位字进行16种二进制算术运算的16种逻辑运算,即具有32种函数功能。由四个功能选择端3S 、2S 、1S 、0S 及一个模式控制端M 来选择这32种功能操作,其中M 状态的0、1,用来区分是算术运算还是逻辑运算。74LS181有两种逻辑表示,即正逻辑与负逻辑,对这两种逻辑表示的输入输出信息的有效电平有不同的要求,在正逻辑操作时是高电平有效,而在负逻辑操作时是低电平有效,其外形图如下图5-1所示:

图5-1 74LS181芯片图

器件共有24个引脚,分别说明如下: 表5-1: 引脚号 记忆符号 功能说明

1 0B 操作数B 的0位输入端

2 0A 操作数A 的0位输入端 3~6 3S ~0S 16种操作功能控制编码输入端 7 n C 低位进位输入

8 M

算术/逻辑运算模式控制 9~11 0F ~2F

运算结果的低三位数 12 GND 地

13 3F

运算结果的第4位数

14 A B =

用于比较A 、B 两数(OC 输出) 15 P 小组进位传递信号 16

4n C +

高位进位输出

17 G

小组进位生成输出

18-23 3B ~1B ;3A ~1A 操作数A 和B 的第3~1位数 24

CC V +5V 电源

五、实验步骤

按照实验内容设计并连接电路,

在输入端输入A 、B 的二进制值,计数器先置零,分别对M=1;M=0、Cn=1;M=0、Cn=0三种情况,从0000开始计数指导1111,记录输出结果。

六、实验内容记录(数据、图表、波形、程序设计等)

实验电路如图:

真值表为:

A=0111 B=0010 S3S2S1S0 M=1 M=0 Cn=1 M=0 Cn=0 0000 1000 0111 1000 0001 1000 0111 1000 0010 0000 1111 0000 0011 0000 1111 0000 0100 1101 1100 1101 0101 1101 1100 1101 0110 0101 0100 0101 0111 0101 0100 0101 1000 1010 1001 1010 1001 1010 1001 1010 1010 0010 0001 0010 1011 0010 0001 0010 1100 1111 1110 1111 1101 1111 1110 1111 1110 0111 0110 0111 1111

0111

0110

0111

七、按步骤操作后,输出与理论结果相吻合。

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理 实验4

实验四模型机设计 1 实验目的 (1) 掌握一个简单CPU的组成原理。 (2) 在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3) 为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 2 实验设备 PC机一台,TD-CMA实验系统一套。 3 实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图4-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 图4-1 基本CPU构成原理图 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD芯片中。CLR连接至CON单元的总清端CLR,按下CLR按钮,将使PC清零,LDPC和T3相与后作为计数器的计数时钟,当LOAD为低时,计数时钟到来后将CPU内总线上的数据打入PC。

T3 CLR 图4-2 程序计数器(PC)原理图 本模型机和前面微程序控制器实验相比,新增加一条跳转指令JMP,共有五条指令:IN(输入)、ADD(二进制加法)、OUT(输出)、JMP(无条件转移),HLT(停机),其指令格式如下(高4位为操作码): 助记符机器指令码说明 IN0010 0000IN R0 ADD0000 0000R0 + R0 R0 OUT0011 0000R0 OUT JMP addr1110 0000 ********addr PC HLT0101 0000停机 其中JMP为双字节指令,其余均为单字节指令,********为addr对应的二进制地址码。微程序控制器实验的指令是通过手动给出的,现在要求CPU自动从存储器读取指令并执行。根据以上要求,设计数据通路图,如图4-3所示。 本实验在前一个实验的基础上增加了三个部件,一是PC(程序计数器),另一个是AR(地址寄存器),还有就是MEM(主存)。因而在微指令中应增加相应的控制位,其微指令格式如表4-1所示。

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

计算机组成原理_实验报告四(含答案)

湖南科技学院 电子与信息工程学院 实验报告 课程名称: 姓名: 学号: 专业: 班级: 指导老师:

实验四微程序控制组成实验 一、实验目的及要求 1.将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机。 2.用微程序控制器控制模型计算机的数据通路。 3.执行给定的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验将前面几个实验中的所模块,包括运算器、存储器、通用寄存器堆等同微程序控制器组合在一起,构成一台简单的模型机。这是最复杂的一个实验,也将是最有收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成了对数据通路的控制。而在本次实验中,数据通路的控制将交由微程序控制器来完成。实验机器从内存中取出一条机器指令到执行指令结束的一个指令周期,是由微程序完成的,即一条机器指令对应一个微程序序列。 实验电路大致如下面框图所示。其中控制器是控制部件,数据通路是执行部件,时序发生器是时序部件。需使用导线将各个部件控制信号与控制器相连。 三、实验主要仪器设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 四、实验任务 1.对机器指令组成的简单程序进行译码。将下表的程序按机器指令格式手工汇编成二进制机器代码, 此项任务请在预习时完成。 2. 3.使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH。

4.使用控制台命令将任务1中的程序代码存入内存中(注意起始地址为30H),以及将内存地址为 11H的单元内容设置为0AAH。 5.用单拍(DP)方式执行一遍程序,执行时注意观察各个指示灯的显示并做好记录(完成实验表格), 从而跟踪程序执行的详细过程(可观察到每一条微指令的执行过程)。 6.用连续方式再次执行程序。这种情况相当于计算机正常的工作。程序执行到STP指令后自动停机。 读出寄存器中的运算结果,与理论值比较。 五、实验步骤和实验结果记录 1.程序译码。 2.实验接线(本实验接线比较多,需仔细) 只要把上表种同列的信号用线连接即可,一共接线33条。 接好线后,将编程开关拨到“正常位置”。合上电源,按CLR#按钮,使TEC-5实验实验系统处于初始状态。 3.实验任务3:使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH的操作步骤及结果记录。 (1)掌握写寄存器WRF的原理和步骤(详见实验参考资料)。 (2)操作过程如下:

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告

计算机组成原理实验报告-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

计算机组成原理实验报告 ——微程序控制器实验一.实验目的: 1.能看懂教学计算机(TH-union)已经设计好并正常运行的数条基本指令的功能、格式及 执行流程。并可以自己设计几条指令,并理解其功能,格式及执行流程,在教学计算机上实现。 2.深入理解计算机微程序控制器的功能与组成原理 3.深入学习计算机各类典型指令的执行流程 4.对指令格式、寻址方式、指令系统、指令分类等建立具体的总体概念 5.学习微程序控制器的设计过程和相关技术 二.实验原理: 微程序控制器主要由控制存储器、微指令寄存器和地址转移逻辑三大部分组成。 其工作原理分为: 1、将程序和数据通过输入设备送入存储器; 2、启动运行后从存储器中取出程序指令送到控制器去识别,分析该指令要求什么事; 3、控制器根据指令的含义发出相应的命令(如加法、减法),将存储单元中存放的操作数据取出送往运算器进行运算,再把运算结果送回存储器指定的单元中; 4、运算任务完成后,就可以根据指令将结果通过输出设备输出 三.微指令格式: 微指令由下地址字段及控制字段组成.TH—UNION教学机的微指令格式如下: 其中高八位为下地址字段.其余各位为控制字段. 1)微地址形成逻辑 TH—UNION 教学机利用器件形成下一条微指令在控制器存储器的地址. 下地址的形成由下地址字段及控制字段中的CI3—SCC控制.当为顺序执行时,下地址字段不起作用.下地址为当前微指令地址加1;当为转移指令(CI3— 0=0011)时,由控制信号SCC提供转移条件,由下地址字段提供转移地址. 2)控制字段

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

《计算机组成原理》实验报告四

《计算机组成原理》 实 验 报 告 学院:数学与计算机学院 专业:软件工程 班级学号: 学生姓名: 实验日期: 2014-11-8 指导老师: 成绩评定: 西华大学数学与计算机学院计算机组成原理实验 室 实验四存储器和总线实验 一、实验目的 熟悉存储器和总线的硬件电路

二、实验要求 按照实验步骤完成实验项目,熟悉存储器的读、写操作,理解在总线上数据传输的方法。 三、实验说明 (一)存储器和总线的构成 1.总线由一片74LS245、一片74LS244组成,把整个系统分为内部总线和外部总线。二片74LS374锁存当前的数 据、地址总线上的数据以供LED显示。(如图8)

图8 总线布局图 2.存储器采用静态RAM(1片6264) 3.存储器的控制电路由一片74LS32和74LS08组成。如图9

图9 存储器控制电路布局图(二)存储器和总线的原理

1.总线的原理:由于本系统内使用8根地址线、8根数据线,所以使用一片74LS245作为数据总线,另一片 74LS244作为地址总线(如图10)。总线把整个系统分为内部数据、地址总线和外部数据、地址总线,由于数据总线需要进行内外部数据的交换,所以由BUS信号来控制数据的流向,当BUS=1时数据由内到外,当 BUS=0时数据由外到内。 图10 总线单元 2.由于本系统内使用8根地址线、8根数据线,所以6264的A8~A12接地,其实际容量为256个字节(如图11)。 6264的数据、地址总线已经接在总线单元的外部总线 上。存储器有3个控制信号:地址总线设置存储器地 址,RM=0时,把存储器中的数据读出到总线上;当 WM=0,并且EMCK有一个上升沿时,把外部总线上的数据写入存储器中。为了更方便地编辑内存中的数 据,在实验机处于停机状态时,可由监控来编辑其中的数据。

计算机组成原理实验6

第六节 CPU组成与机器指令执行实验 一、实验目的 (1)将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机; (2)用微程序控制器控制模型机数据通路; (3)通过CPU运行九条机器指令(排除中断指令)组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验用到前面四个实验中的所有电路,包括运算器、存储器、通用寄存器堆、程序计数器、指令寄存器、微程序控制器等,将几个模块组合成为一台简单计算机。因此,在基本实验中,这是最复杂的一个实验,也是最能得到收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成数据通路的控制。而在本次实验中,数据通路的控制将由微程序控制器来完成。CPU从内存取出一条机器指令到执行指令结束的一个机器指令周期,是由微指令组成的序列来完成的,即一条机器指令对应一个微程序。 三、实验设备 (1)TEC-9计算机组成原理实验系统一台 (2)双踪示波器一台 (3)直流万用表一只 (4)逻辑测试笔一支 四、实验任务 (1)对机器指令系统组成的简单程序进行译码。 (2)按照下面框图,参考前面实验的电路图完成连线,控制器是控制部件,数据通路(包括上面各模块)是执行部件,时序产生器是时序部件。连线包括控制台、时序部分、数据通路和微程序控制器之间的连接。其中,为把操作数传送给通用寄存器组RF,数据通路上的RS1、RS0、RD1、RD0应分别与IR3至IR0连接,WR1、WR0也应接到IR1、IR0上。 开关控制 控制台时序发生器 时序信号 开关控制指示灯信号控制信号时序信号 控制信号 微程序控制器数据通路 指令代码、条件信号

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

计算机组成原理实验五参考

实验五CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。

2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。

3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用控制台微程序KLD设置通用寄存器R2、R3的值 在本操作中,我们打算使R2 = 60H,R3 = 61H。 1.令DP = 0,DB = 0,DZ =0,使实验系统处于连续运行状态。令SWC = 0、SWB = 1、SWA = 1,使实验系统处于寄存器加载工作方式KLD。按CLR#按钮, 使实验系统处于初始状态。 2.在SW7—SW0上设置一个存储器地址,该存储器地址供设置通用寄存器使用。 该存储器地址最好是不常用的一个地址,以免设置通用寄存器操作破坏重要的存储 器单元的内容。例如可将该地址设置为0FFH。按一次QD按钮,将0FFH写入AR1 和AR2。

计算机组成原理实验报告4

上海大学计算机学院 实验名称:指令系统实验 一、实验目的 1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理 微程序和机器指令,实验箱的机器指令系统,实验箱机器指令系统的布线,实验箱机器指令系统的工作原理,实验箱PC的打入原理,程序存储器模式下的操作。 三、实验内容 1. 考察机器指令64的各微指令信号,确定该指令的功能。 (假设R0=77, A=11, 77单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT”操作。 3*. 修改机器指令F0,使其完成“A+R2的结果右移一位的值到OUT”的操作 四、实验步骤 实验任务一:

考察机器指令64的各微指令信号,确定该指令的功能。 实验步骤: 1.初始化系统(Reset),进入μEM,在Adr字段送入64,按NX键,可查看其对应的微指令: 64: FF 77 FF 65: D7 BF EF 66:FF FE 92 67:CB FF FF 2.分析其二进制代码,分析其控制功能 64: 1111 1111 0111 0111 1111 1111 从寄存器R?中取出地址打入地址寄存器MAR。 65: 1110 0111 1011 1111 1110 1111 把地址寄存器MAR的存储器值EM打入寄存器W。 66:1111 1111 1111 1110 1001 0010 把寄存器A和寄存器W中的数据进行或运算后打入寄存器A和标志位C,Z。 67:1100 1011 1111 1111 1111 1111 读出下一条指令并立即执行。 四条指令功能:把寄存器A和寄存器R?中地址内存的数据进行或运算,结果保存在寄存器A中,然后执行下一条指令。 实验任务二: 1.分解任务:修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT”操作的操作。第一步完成A+W;并把“左移一位的值送OUT”;第二步完成取指令。 2.编制微指令:由“控制总线功能对应表”,可确定这四步基本操作的微指令码为:

计算机组成原理实验

计算机组成原理 实验报告 学院(系):软件学院 专业:软件设计 班级:软件设计一班 学号:1415925365 姓名:沈烨 2016年11月24日

实验1 Cache模拟器的实现 一.实验目的 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验内容和步骤 1、启动CacheSim。 2、根据课本上的相关知识,进一步熟悉Cache的概念和工作机制。 3、依次输入以下参数:Cache容量、块容量、映射方式、替换策略和写策略。 4、读取cache-traces.zip中的trace文件。 5、运行程序,观察cache的访问次数、读/写次数、平均命中率、读/写命中率。思考:1、Cache的命中率与其容量大小有何关系? Cache 的容量与块长是影响cache效率的重要因素; Cache 容量越大,其CPU命中率就越高,当然容量过大,增加成本,而且cache 容量达到一定值时,命中率已不因容量的增加而又明显的提高; 2、Cache块大小对不命中率有何影响? Cache 当块由小到大,在已被访问字的附近,近期也可能访问,增大块长,可将更多有用字存入缓存,提高命中率;但是继续增大块长,命中率可能下降,因为所装入缓存的有用数据反而少于被替换掉的有用数据,由于块长增大,块数减少,装入新的块要覆盖旧块,很可能出现少数块刚装入就被覆盖,故命中率可能下降; 3、替换算法和相联度大小对不命中率有何影响? 替换算法中:LRU算法的平均命中率比FIFO的高 LRU算法比较好地利用访存局部性原理,替换出近期用得最少的字块,它需要随时记录cache 各个字块使用情况。FIFO不需要记录各个字块的使用情况,比较容易实现开销小,但是没有根据访存的局部性原理,最早调入的信息可能以后还要用到,或经常用到例如循环程序; Cache 容量一定时,随着相联度的不断增加,不命中率渐渐减小,但是当相连度增加到一定程度时,不命中率保持不变;

计算机组成原理实验报告6-存储器EM实验

2.6 存储器EM实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.29 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序存储器EM 的读写操作。 二.实验目的:了解模型机中程序存储器EM 的工作原理及控制方法。 三.实验电路: 存储器EM 由一片6116RAM 构成,是用户存放程序和数据的地方。存储器EM 通过一片74HC245 与数据总线相连。存储器EM 的地址可选择由PC或MAR 提供。 存储器EM 的数据输出直接接到指令总线IBUS,指令总线IBUS 的数据还可以来自一片74HC245。当ICOE 为0 时,这片74HC245 输出中断指令B8。 EM原理图

连接线表 四.实验数据及步骤: 实验1:PC/MAR 输出地址选择 置控制信号为: 以下存贮器EM实验均由MAR提供地址 实验2:存储器EM 写实验 将地址0 写入MAR 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据00H

置控制信号为: 按STEP键, 将地址0 写入MAR 将数据11H写入EM[0] 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H 置控制信号为: 按STEP键, 将数据11H写入EM[0] 将地址1 写入MAR 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据01H 置控制信号为: 按STEP键, 将地址1 写入MAR 将数据22H写入EM[1] 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H

计算机组成原理实验五参考

计算机组成原理实验五 参考 文档编制序号:[KK8UY-LL9IO69-TTO6M3-MTOL89-FTT688]

实验五 CPU组成与机器指令执行实验 第一步,对机器指令系统组成的简单程序进行译码。 地址指令机器代码 00H LDAR0,[R2]58H 01H LDA R1,[R3]5DH 02H ADD R0,R104H 03H JC +596H 04H AND R2,R33EH 05H SUB R3,R21BH 06H STA R3,[R2]4BH 07H MUL R0,R124H 08H STP60H 09H JMP [R1]84H 第二步,接线 本实验的接线比较多,需仔细。 1.将跳线开关J1用短路子短接。时序发生器的输入TJI接控制存储器的输出TJ。控制器的输入C接运算器ALU的C。控制器的输入IR7、IR6、IR5、IR4依次指令寄存器IR的输出IR7、IR6、IR5、IR4。共6条线。 2.控制器的输出LDIR(CER)、LDPC(LDR4)、PC_ADD、PC_INC、M4、LDIAR、

LDAR1(LDAR2)、AR1_INC、M3、LDER、IAR_BUS#、SW_BUS#、RS_BUS#、 ALU_BUS、CEL#、LRW、WRD、LDDR1(LDDR2)、M1(M2)、S2、S1、S0 依次与数据通路的对应信号连接。共27条线。 3.指令寄存器IR的输出IR0接双端口寄存器堆的RD0、WR0,IR1接RD1、WR1,IR2接RS0,IR3接RS1。共6条线。 合上电源。按CLR#按钮,使实验系统处于初始状态。 第三步,利用设置通用寄存器R2、R3的值

计算机组成原理实验1

计算机组成原理实验日志 实验题目: 运算器组成实验 实验目的: (1)了解运算器的组成结构; (2)掌握运算器的工作原理; ⑶学习运算器的设计方法; (4)掌握简单运算器的数据传输通路; (5)验证运算功能发生器74LS181的组合功能。 实验主要步骤: (1)(按图1.1-2连接实验电路并检查无误。图中将学生需要连接的信号线用小圆圈 标明(其他实验相同,不再说明)。 图1.1-2算术逻辑实验接线图 (2)打开电源开关。 (3)用输入开关向暂存器DR1置数。 ①拨动输入开关,形成二进制数01100101或其他数值)。(数据显示:灯亮 为0,灭为1)。 ②使SWITCH UNIT单元中的开关SW-B=0(打开数据输入三态门)、ALU-B=1(关闭ALU 输入三态门)、LDDR1=1、LDDR2=0。 ③按动微动开关KK2(产生T4),则将二进制数01100101置入DR1中。 (4)用输入开关向暂存器DR2置数。

①拨动输入开关,形成二进制数10100111或其他数值)。 ②SW-B=0、ALU-B=1 保持不变,改变LDDR1、LDDR2,使LDDR1=0、 LDDR2=1。 ③按动微动开关KK2(产生T4),则将二进制数10100111置入DR2中。⑸检验DR1和DR2中存的数是否正确。 ①关闭数据输入三态门(SW-B=1),打开ALU输出三态门(ALU-B=0),并使LDDR1=0、LDDR2=0,关闭寄存器。 ②置S3、S2、S1、S0、M为1、1、1、1、1,总线显示灯显示DR1中的数。 ③置S3、S2、S1、S0、M为1、0、1、0、1,总线显示灯显示DR2中的数。(6)改变运算器的功能设置,观察运算器的输出。 ①SW-B=1、ALU-B=0保持不变。 ②按表1.1-2置S3、S2、S1、S0、M、Cn的数值,并观察总线显示灯显示的结果。 例如: 置S3、S2、S1、S0、M、Cn为1、0、0、1、0、1,运算器进行加法运算。 置S3、S2、S1、S0、M、Cn为0、1、1、0、0、0,运算器进行减法运算。 ⑺验证74LS181的算术运算和逻辑运算功能(采用正逻辑)。 ①在给定DR1=65、DR2=A7的情况下,改变运算器的功能设置,观察运算器的输出,并将该输出填入表1.1-2中。 ②参考表1.1-1给出的74LS181的逻辑功能表,验证74LS181的算术运算和逻辑运算功能(采用正逻辑),且与理论分析进行比较和验证。 实验结果: DR1置入01H DR1置入02H 加法,总线显示03H 减法,总线显示01H 心得体会: 通过本次实验初步了解了实验器材,加法原理和操作。

相关主题