搜档网
当前位置:搜档网 › 单片机课程设计---十字路口的交通灯控制电路

单片机课程设计---十字路口的交通灯控制电路

单片机课程设计---十字路口的交通灯控制电路
单片机课程设计---十字路口的交通灯控制电路

苏州科技学院单片机课程设计

单片控制的交通灯控制系统设计

姓名:XX

学号:zzzzzzzzz

专业班级:xxxx

指导老师:徐树梅

所在学院:机电工程系

2012年6月1日

摘要

本设计是单片机控制的交通灯控制系统设计。随着经济的发展,交通运输中出现了一些传统方法难以解决的问题。道路拥挤现象日趋严重,造成的经济损失越来越大,并一直保持大比例的增长。现在交通系统已不能满足经济发展的需求。由于生活水平的提高,人们对交通运输的安全性及服务水平提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,有助于提高交通运输的安全性、提高交通管理的服务质量。并在一定程度上尽可能的降低由道路拥挤造成的经济损失,同时也减小了工作人员的劳动强度。

当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。

现在我们利用“自动控制”控制交通灯的方法。将事先编制好的程序输入单片机,利用单片机的定时、查询、中断功能;能够根据十字路口两个方向上车辆动态状况,采用查询的方式,根据具体情况,自动给予时间通行,其中利用中断方式来处理特殊情况。这样既方便驾驶员、路人,同时还可以紧急处理一些紧急实况。同样具有红、黄、绿灯的显示功能,为驾驶员、路人“照明”。

中国车辆数量不断增加,交通控制在未来的交通管理中起着越来越重要的作用。智能交通灯的管理比重修一条马路无论在经济、交通运行速率上都有很好的效益、更加节约资源。使交管人员有更多的精力投入到管理整个城市交通控制,带来更大的经济和社会效益,为创造美好的城市交通形象发挥更多的作用。

1 系统总体方案 1.1设计要求:

1)南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间为20秒。

2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3)黄灯亮时,要求每秒闪亮一次。

4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5)当有突发事情发生时(如有救护车通过时),可通过按键将各个路口设置成红灯,只让救护车通过。

1.2设计任务:

1)东西、南北车辆交替运行

2)绿灯转为红灯时,黄灯闪亮(间隔1秒)。 3)能显示剩余时间。 4)能对交通运行进行控制。

1.3总体设计

2 硬件设计

2.1单片机选型:AT89C52

与MCS-51单片机产品兼容 、8k 可反复擦写(大于1000次)Flash ROM 、 时钟频率:0Hz ~24Hz 、 三级加密程序存储器 、 32个双向I/O 口 、2个串行中断,可编程UART 串行通道、3个16位可编程定时/计数器中断 、2个外部中断源,共8个中断源、低功耗空闲和掉电模式、软件设置睡眠和唤醒功能、2个读写中断口线、3级加密位、看门狗

单片机

中断按键 复位电路 晶振电路

数码显示

LED 显示

定时器、双数据指针。

功能特性描述

A T89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash 只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用A TMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,A T89C52单片机在电子行业中有着广泛的应用。AT89C52具有以下标准功能:8k 字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路,8 位微控制器8K 字节在系统可编程Flash ROM。

P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL 逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入端用。

在访问外部数据存储器或程序存储器时,P0口被分时转换地址(低8 位)和数据总线复用,在访问期间激活内部上拉电阻。

在Flash 编程时,P0 口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,P1 输出缓冲器能驱动4 个TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX),具体如下表所示。在flash编程和校验时,P1口接收低8位地址字节。

P1端口引脚号第二功能:

P1.0 T2(定时器/计数器T2的外部计数输入),时钟输出

P1.1 T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)

P2 口:P2 是一个带有内部上拉电阻的8 位双向I/O 口,P2 的输出缓冲级可驱动(吸收或输出电流)4 个TTL逻辑门电路。对端口P2 写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

在访问外部程序存储器或16 位地址的外部数据存储器(例如执行MOVX @DPTR 指令)时,P2 口送出高8 位地址数据。在访问8 位地址的外部数据存储器(如执行MOVX @RI 指令)时,P2 口输出P2 锁存器的内容。

Flash 编程或校验时,P2亦接收高位地址和一些控制信号。

P3 口:P3 口是一组带有内部上拉电阻的8 位双向I/O 口。P3 口输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对P3 口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3 口将用上拉电阻输出电流(IIL)。

P3 口还接收一些用于Flash 闪速存储器编程和程序校验的控制信号。

P3 口除了作为一般的I/O 口线外,更重要的用途是它的第二功能。

P3口亦作为AT89S52特殊功能(第二功能)使用,如下表所示。

在flash编程和校验时,P3口也接收一些控制信号。

P3端口引脚第二功能:

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 INTO(外中断0)

P3.3 INT1(外中断1)

P3.4 TO(定时/计数器0)

P3.5 T1(定时/计数器1)

P3.6 WR(外部数据存储器写选通)

P3.7 RD(外部数据存储器读选通)

RST——复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将是单片机复位。

ALE/PROG——当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。对FLASH存储器编程期间,该引脚还用于输入编程脉冲(PROG)。

如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。该位置位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。

PSEN——程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲,

在此期间,当访问外部数据存储器,将跳过两次PSEN信号。

EA/VPP——外部访问允许,欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。

如EA端为高电平(接Vcc端),CPU则执行内部程序存储器的指令。

FLASH存储器编程时,该引脚加上+12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。

引脚结构图如下所示:

引脚结构图

2.2复位电路:上电+按钮

复位电路原理图

当8051的ALE及/PSEN两引脚输出高电平,RST引脚高电平到时,单片机复位。RST/VPD 端的高电平,若直接由启动瞬间产生,则为启动复位,若通过按动按钮产生高电平复位称手动复位。图中,上电时,接通电源,电容器C相当于瞬间短路,+5V加到了RST/VPD端,该高电平使8051全机复位。若运行过程中,需要程序从头执行,只需按动按钮开关,则直接把+5V加到了RST/VPD端,从而复位。显然,该电路即可以上电复位,也可以手动复位,是常用复位电路之一。

2.3晶振电路

晶振电路原理图

晶振电路采用外部晶振电路,使用两个1uF电容并联接地,并在中间再并联晶振,保持外部晶振电路的振荡频率与8051的内部频率一致,保持了电路中所提供的始终频率稳定。

2.4数码管显示:7SEG-MPX2-CC

数码管结构图

此处采用的数码管时共阴极数码管,G、F、E、D、C、B、A七个端口是输入端口,对其各位进行赋值,置位则亮,复位则灭,用此来显示出相应的数字;1、2两端口用来控制高位和低位的选通显示,只要对端口置位,便可以选择该端口,并对其所代表的位进行赋值,显示。

2.5发光二极管显示

每个路口都采用了三个LED灯来显示,分别代表了红黄绿三种灯,来模拟路口交通灯的变换。

2.6键盘:独立键盘+中断

采用开关来控制进入中断,可以在路口出现紧急情况时,拉动开关,来使得红绿灯发生相应的改变,已处理紧急情况下的车辆通行。

3 软件设计

3.1总体流程图

3.2 紧急中断子程序流程图

断点入栈保护 状态参数设置 送状态字至端口 状态响应 断点返回

始 开 始

调支路通行子程 开 始

中断初始化 调主干道黄灯闪烁子程 调主干道通行子程序

调支路黄灯闪烁子程 判断是否有开关中

断?

中断处理 中断返回(到子程序处)

NO

YES

3.3循环流程控制思路:

4 Proteus 软件仿真 4.1 正常运行状态

东西绿灯 南北红灯

东西黄灯闪 南北红灯亮

东西红灯 南北绿灯

东西红灯亮 南北黄灯闪

中断

4.2 中断运行状态

4.3 黄灯闪烁状态

5 源程序代码

#include

#include

#define uint unsigned int

#define uchar unsigned char

uchar temp,aa,nn;

sbit rn=P2^0;

sbit yn=P2^1;

sbit gn=P2^2;

sbit rw=P2^3;

sbit yw=P2^4;

sbit gw=P2^5;

sbit shi=P3^6;

sbit ge=P3^7; /*对要使用的单片机各个口进行定义*/

bit flag=0;

uchar code table[]={

0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71}; /*将数字0123456789的16进制数定义成数组用以显示*/

void delay(uint z);

void disp(uint n);

void yn_flash(void);

void yw_flash(void);

void xint0();

void timer0();

void LED_ON();

void xint1();

void LED_OFF();

void rnzhu();

void rwzhi(); /*将所使用的子函数定义*/

void main()

{

uint num;

num=0;

aa=0;

TMOD=0x01;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

EA=1;

ET0=1;

TR0=1;

EX0=1;

IT0=0;

EX1=1;

IT1=1; /*中断初始化*/

while(1)

{

rnzhu();

yn_flash();

rwzhi();

yw_flash(); /*主函数中显示部分*/ }

}

void delay(uint z) /*延时子函数*/

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void timer0() interrupt 1 /*定时器初始化*/

{

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

aa++;

if(aa==18)

{

aa=0;

flag=1;

}

}

void disp(uint n) /*显示子函数*/

{

while(!flag)

{

ge=0;

P0=table[n%10];

delay(50);

shi=1;ge=1;

shi=0;

P0=table[n/10];

delay(50);

shi=1;ge=1;

}

if(flag==1)

flag=0;

}

void rnzhu() /*主干道的红绿灯和数码管显示子函数*/ { uint num;

num=30;

disp(num);

while(num<=30&&num>0)

{ num--;

P2=0xde;

disp(num);

}

}

void rwzhi() /*支路的红绿灯和数码管显示子函数*/ { uint num;

num=20;

while(num<=20&&num>0)

{ P2=0xf3;

disp(num);

num--;

}

}

void yn_flash(void) /*主干道的黄灯和数码管显示子函数*/ {

uint a;

for(a=5;a>0;a--)

{ P2=0xdd;

yn=1;

delay(100);

yn=0;

disp(a);

}

}

void yw_flash(void) /*支路的黄灯和数码管显示子函数*/ {

uint a;

for(a=5;a>0;a--)

{ P2=0xeb;

yw=1;

delay(100);

yw=0;

disp(a);

}

}

void xint0() interrupt 2 /*中断定义*/ {

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

LED_ON();

}

void LED_ON() /*开关控制灯的子函数*/ { uint b;

rn=0;

rw=0;

gn=1;

gw=1;

yn=1;

yw=1;

for(b=5;b>0;b--)

{

ge=0;shi=1;

P0=table[b];

delay(1000);

ge=1; shi=1;

}

return;

}

6 原理图

6.1 系统原理图

6.2 PCB版图

课程设计体会

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展的日新日异,单片机已经成为当今计算机应用中空前活跃的领域,在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握单片机的开发技术是十分重要的。

这次的单片机课程设计大概用了一周的时间,在这一周的时间当中,虽然开始遇到了很多的困难,但是由于合理地进行设计安排,分工合作,并各自查阅了相关的资料,最后在大家共同努力下我们顺利地完成了本次单片机课程设计,同时也学到了很多东西。

回顾起此次单片机课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在整整一星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。例如我们在设计数码管显示的时候,刚开始的时候,由于对数码管引脚的不熟悉,我们都无法让数字显示,后来发现时十位和个位的置位、复位发生了问题,我们对此进行了修改,可以正确的显示出十位及个位的数值,但是数字的显示不稳定,不停的跳动,我们为此查阅了很多的资料,但还是未能解决,最后我们不停地对程序进行修改,终于发现了问题所在,在显示子程序上存在缺陷未能快速的切换对十位、个位的置位和复位,经过这样的修改,终于可以完美的显示时间了。我们也更好地掌握了对数码管的使用,这都是课堂上学不到的知识。

这次的单片机课程设计是通过实践操作和理论相结合,提高动手实践能力,提高科学的思

维能力。与此同时,我们也对分工合作有了进一步的认识,只有通过合理的分工合作,我们们才能够在短短一周的时间内完成设计任务,相信这对以后在社会上工作和学习会有很多帮助,让我们能更好的进入工作状态。最重要的是,这次课程设计也增加了我们对问题的研究和探讨,们以后的学习中会有更多的帮助。

单片机为我们的主要专业课之一,对我们还是很有帮助的,课程设计也是为我们以后的工作提前进行了一次练手,也是我们学习生活之中少有的一次自己探索、研究,发现问题、解决问题的机会。当然要做好一个课程设计也不是很简单的,我认为有以下几步:(1)在设计程序之前,对所用单片机的内部结构有一个系统的了解,知道该单片机内有哪些资源;

(2)要有一个清晰的思路和一个完整的的软件流程图,善于将大的程序段缩小为每个子函数来实现;

(3)在设计程序时,不能妄想一次就将整个程序设计好,反复修改、不断改进是程序设计的必经之路;

(4)要养成注释程序的好习惯,写程序是应该思路清晰,结构明了,应该让人一看就能明白你的思路,方便资料的保存和交流;

(5)我们们应该将每次遇到的问题记录下来,并分析清楚,以免下次再碰到同样的问题的;

在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。我们们通过查阅大量有关资料,并在小组中互相讨论,交流经验和自学,若遇到实在搞不明白的问题就会及时请教老师,或者与其他小组成员讨论,共同解决,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。通过这次课程设计我们也发现了自身存在的不足之处,虽然感觉理论上已经掌握,但在运用到实践的过程中仍有意想不到的困惑,经过一番努力才得以解决。

通过这次课程设计使我更加懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正将一个东西学好学透,从而提高自己的实际动手能力和独立思考的能力。我想这对于我今后的学习生活和工作都会是有很大帮助的!

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

单片机课程设计报告--心形流水灯

井冈山大学 机电工程学院 单片机 课程设计报告 课程名称:单片机 设计题目:心形流水灯 姓名:玉红 专业:生物医学工程 班级:11级医工本一班 学号:110615017 指导教师:王佑湖 2013年11月27日

目录 1引言 (2) 1.1设计任务 (2) 1.2设计要求……………………………………………… ..2 2 课题综述 (2) 2.1课题的来源 (2) 2.2面对的问题 (2) 3 系统分析 (2) 3.1 STC89C52单片机引脚图及引脚功能介绍 (2) 4 系统设计 (4) 4.1硬件设计 (4) 4.1.1硬件框图 (4) 4.1.2硬件详细设计 (5) 4.2 软件设计 (5) 4.3 硬件原理图 (6) 4.4 元件清单 (6) 4.5 硬件焊接

图 (6) 4.6 代码编写 (7) 5心得体会 (7) 6致 (8) 参考文献 (8) 1 引言 单片机课程设计主要是为了让我们增进对STC89C51单片机电路 的感性认识,加深对理论方面的理解。了解软硬件的有关知识,并掌握软硬件设计过程、方法及实现,为以后设计和实现应用系统打下良好基础。另外,通过简单课题的设计练习,使我们了解必须提交的各项工程文件,达到巩固、充实和综合运用所学知识解决实际问题的目 的。 1.1设计任务 设计一个单片机控制的流水灯系统 1.2设计要求 (1)32个LED灯; (2)可实现多种的亮灯(如左循环,右循环,间隔闪,90度交叉闪等)。 2 课题综述

2.1 课题的来源 当今社会,这种由单片机芯片控制各种硬件工作的技术也日益成熟,并普及在交通、化工、机械等各个领域。而流水灯这项技术在生活中的应用更是广泛,较为贴近生活。而流水灯控制的设计所需要的知识也正好吻合了我们本学期对于单片机这门课程的学习,所以设计流水灯控制的这个课题让我们对知识的学习和巩固都有了进一步的加深。 2.2 面对的问题 这次课程设计是通过STC89C52位单片机实现。但面对的问题却是两方面的:一个是软件的设计,也就是实现流水灯控制功能的程序编辑;另一个是硬件的设计,需要我们自己连接、焊接电路板。而更为严峻的就是设计的最后还要将软硬件相结合。 3 系统分析 3.1 STC 89C52单片机引脚图及引脚功能介绍 本次设计的目的在于加深STC89C52单片机的理解,首先来简单认识一下,它的引脚如图3-1所示: 图3.1 STC89C52

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

单片机流水灯课程设计

单片机流水灯课程 设计

基于AT89C51单片机的流水灯 1 引言 1.1 课题简介 单片机全称叫单片微型计算机(Single Chip Microcomputer),是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 当前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴:在智能仪器仪表上的应用,例如精密的测量设备;在工业控制中的应用,用单片机能够构成形式多样的控制系统、数据采集系统,例如工厂流水线的智能化管理,电梯智能化控制、各种报警系统,与计算

机联网构成二级控制系统等;在家用电器中的应用可从手机,电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话,集群移动通信,无线电对讲机等。单片机在医用设备领域中的应用,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等;在各种大型电器中的模块化应用,如音乐集成单片机,看似简单的功能,微缩在纯电子芯片中(有别于磁带机的原理),就需要复杂的类似于计算机的原理。 本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 1.2 设计目的 (1) 学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。 (2) 掌握汇编语言程序设计方法。 (3) 培养实践技能,提高分析和解决实际问题的能力。 1.3 设计任务及要求 (1) 彩灯用8个发光二极管代替。 (2) 电路具有控制彩灯点亮右移、左移、全亮及全灭等功能。

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

单片机课程设计报告旗舰版

单片机原理及系统课程设计 评语: 考勤(10)守纪(10)过程(40)设计报告(30)答辩(10)总成绩(100) 专业:电气工程及其自动化 班级:电气1001班 姓名:周兴 学号: 201009018 指导教师:李红 兰州交通大学自动化与电气工程学院 2013 年 3 月 7 日

基于单片机的LED流水灯系统设计 摘要 本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。本系统就是充分利用了8051芯片的I/O 引脚。系统以采用MCS-51系列单片机89C51为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 关键词:单片机;LED流水灯;MCS-51 Abstract This design mainly to analyze calculator software and in the process of developing the steps, and from the practical experience of the calculator design has made the detailed analysis and research. This system is to make full use of the 8051 chip I/O pins. System to the MCS - 51 series microconteroller as the center Intel8C51 device to design LED running lights system, realize the eight LED neon light left and right cyclic display, and realize the circulation speed adjustable. Keywords: single chip microcomputer, LED running lights,MCS-51

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

交通灯控制系统课程设计

2011年至2012年第1学期《单片机原理与应用》课程设计 班级1006402 指导教师涂立旎 学生人数___ _3__ ___ 设计份数 1 2011年12月23日

城市交通灯控制系统设计报告 1006402-42 流溪 1006402-24钱升 1006402-06毛运鹏 一.设计时间 2012年12月19日——2011年12月23日 二.设计地点 一实验楼401机房 三.设计小组及成员分工 1006402-42 流溪(组长,编写及调试程序) 1006402-24 钱升(负责设计报告的书写及资料整理) 1006402-06 毛运鹏(原理图、流程图设计) 四.指导老师 涂立老师,旎老师 五.设计题目 基于51型单片机的城市交通灯控制系统 六.设计容及目标 1.该交通灯系统的设计容 本设计是基于AT89S51单片机的十字路口交通灯控制系统,利用6个发光二极管模拟交通灯。按照时间控制原则,利用并行接口和定时器,采用时间中断方式设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。 2.该交通灯系统的设计目标 本系统结构简单,操作方便;可实现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。

七.流程图与原理图及部分说明 1.程序流程图如图1所示: 图1. 程序流程图 2.主程序流程图步骤说明: (1)东西方向车道红灯亮,南北方向车道绿灯亮。表示东西方向车道上的车辆禁止通行,南北方向车道允许通行。绿灯亮足规定的时间隔时,控制器发出状态信号,转到下一工作状态。

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

精品可控流水灯单片机课程设计报告(c语言)-定

目录 1 引言 (1) 2课题综述 (1) 2.1 课题的来源 (1) 2.2课题的意义 (1) 2.3预期的目标 (1) 2.4面对的问题 (1) 2.5课题的技术 (2) 3系统分析 (2) 3.1涉及的基础知识 (2) 3.280C51单片机引脚图及引脚功能介绍 (2) 3.3 解决问题的基本思路 (3) 3.4总体方案 (3) 4系统设计 (3) 4.1硬件设计 (3) 4.2 软件设计 (4) 4.3元件清单 (4) 4.4硬件原理图 (5) 4.5 硬件焊接图 (6) 4.6设计主要功能 (6) 5代码编写 (6) 6程序调试 (7) 结论 (8) 致谢 .................................................................................................. 错误!未定义书签。参考文献 .............................................................................................. 错误!未定义书签。

1 引言 单片机课程设计主要是为了让我们增进对80C51单片机电路的感性认识,加深对理论方面的理解。了解软硬件的有关知识,并掌握软硬件设计过程、方法及实现,为以后设计和实现应用系统打下良好基础。另外,通过简单课题的设计练习,使我们了解必须提交的各项工程文件,达到巩固、充实和综合运用所学知识解决实际问题的目的。 2课题综述 2.1课题的来源 当今社会,这种由单片机芯片控制各种硬件工作的技术也日益成熟,并普及在交通、化工、机械等各个领域。而流水灯这项技术在生活中的应用更是广泛,较为贴近生活。而流水灯控制的设计所需要的知识也正好吻合了我们本学期对于单片机这门课程的学习,所以设计流水灯控制的这个课题让我们对知识的学习和巩固都有了进一步的加深。 2.2课题的意义 这次单片机课程设计是为了通过对流水灯控制的设计加强学生团队配合的能力和创造力;综合运用专业及基础知识,解决实际工程技术问题的能力。能够让学生深入真是的体会到所学的理论知识和实践相结合的过程。找出自身的不足并加以改正。 2.3预期的目标 对8个LED灯设计5种流水灯显示方式,用一个按键进行方式选择,并用一个数码管显示方式编号;用两个键来控制流水灯流动的速度。 2.4面对的问题 这次课程设计是通过80C51位单片机实现。但面对的问题却是两方面的:一个是软件的设计,也就是实现流水灯控制功能的程序编辑;另一个是硬件的设计,需要我们自己连接、焊接电路板。而更为严峻的就是设计的最后还要将软硬件相结合。首先我们需要通过protel将设计的实物的电路图画出来,再根据电路图连接实物电路。

相关主题