搜档网
当前位置:搜档网 › 555定时器电路及其功能

555定时器电路及其功能

555定时器电路及其功能
555定时器电路及其功能

6.1 555定时器电路及其功能 时间:2008-07-31 06:18:22 来源: 作者: 点击:331

555定时器是一种多用途的中规模集成电路器件,在外围配以少量阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器等电路,在脉冲产生和变换等技术领域有着广泛的应用。

一、555定时器的电路组成

555定时器是一种模拟电路和数字电路相结合的器件,内部电路结构如图6-1所示:

555定时器由三部分组成:

. 电阻分压器和电压比较器:由三个等值电阻R 和两个集成运放比较器C1、C2构成。电源电压Vcc 经分压取得V+2、V-1作为比较器的输入参考电压,在无外加控制电压Vm 时,V+2=1/3Vcc 、V-1=2/3Vcc ;外加控制电压Vm 可改变参考电压值。比较器分别对阀值输入Vi1与V-1、触发输入Vi2与V+2进行比较,它们的结果决定比较器输出Vc1、Vc2的电位高低。

注意:不接外加控制时,控制端(5脚)不可悬空,需通过电容接地,以旁路高频干扰。 . 基本RS 触发器:由比较器输出电位Vc1、Vc2控制其状态。(4脚)为触发器复位

端当=0

时,触发器反相输出端=1,定时器输出Vo=0,同时,使T D 导通。 .

输出缓冲器和开关管:由反相放大器和集电极开路的三极管T D 构成。反相放大器用以提高负载能力,起到隔离作用。

二、555定时器的逻辑功能

555定时器的逻辑功能取决于比较器C1、C2的工作状态。

在无外加控制电压Vm 的情况下:

当Vi1>V-1、Vi2>V+2时,比较器输出Vc1=1、V C 2=0,触发器置0,=1,Vo=0,T D 导通。将Vo=0,Vo ’对地导通的状态称定时器的0态。

当Vi1

当Vi1V+2时,比较器输出Vc1=0、V C 2=0,触发器维持原状态不变

6.2 施密特触发器

时间:2008-07-31 06:19:14 来源: 作者: 点击:1096

施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采

用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。见图6-2:

解释:当输入信号Vi 减小至低于负向阀值

时,输出电压Vo 翻转为高电平VoH ;而输入信号Vi 增大至高于正向阀值

时,输出电压Vo 才翻转为低电平VoL 。这种滞后的电压传输特性称回差特性,其值-称为回差电压。 一、用555定时器构成的施密特触发器

1.电路组成: 将555定时器的阀值输入端Vi1(6脚)、触发输入端Vi2(2脚)相连作为输入端Vi ,由Vo (3脚)或Vo ’(7脚)挂接上拉电阻Rl 及电源VDD 作为输出端,便构成了如图6-3所示的施密特触发器电路。

2.工作原理: 如图所示,输入信号Vi ,对应的输出信号为Vo ,假设未接控制输入Vm 。

①当Vi=0V 时,即Vi1<2/3Vcc 、Vi2<1/3Vcc,此时Vo=1。以后Vi 逐渐上升,只要不高于阀值电压(2/3Vcc),输出Vo 维持1不变。

②当Vi 上升至高于阀值电压(2/3Vcc )时,则Vi1>2/3Vcc 、Vi2>1/3Vcc ,此时定时器状态翻转为0,输出Vo=0,此后Vi 继续上升,然后下降,只要不低于触发电位(1/3Vcc ),输出维持0不变。

③当Vi 继续下降,一旦低于触发电位(1/3Vcc )后,Vi1<2/3Vcc 、Vi2<1/3Vcc ,定时器

状态翻转为1,输出Vo=1。

总结:未考虑外接控制输入V m时,正负向阀值电压=2/3Vcc、=1/3Vcc,回差电压△V=1/3Vcc。若考虑Vm,则正负向阀值电压=Vm、=1/2Vm,回差电压△V=1/2Vm。由此,通过调节外加电压Vm可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。

二、施密特触发器的应用举例

1.波形变换:

施密特触发器可用以将模拟信号波形转换成矩形波,如图6-4所示将正弦波信号同相转换成矩形波的例子,输出脉冲宽度tpo可通过回差电压加以调节。

2.波形整形

若数字信号在传输过程中受到干扰变成如图6-5(a)所示的不规则波形,

可利用施密特触发器的回差特性将它整形成规则的矩形波。若负向阀值取为,则回差电压

。整形后输出波形如图6-5(b)所示。由于输入信号的干扰在输出中表现为三个矩形

脉冲,这是错误的。若减小负向阀值取为,则回差电压。此时整形后输出波

形如图6-5(c)所示,消去了干扰。

3.幅度鉴别:

施密特触发器的翻转取决于输入信号是否高于或低于,利用此特性可以构成幅度鉴别

器,用以从一串脉冲中检出符合幅度要求的脉冲。如图6-6所示,当输入脉冲大于

时,施密特触发器翻转,输出端有脉冲输出;当输入脉冲幅度小于

时,施密特触发器不翻转,输出端没有脉冲输出。它可以鉴别出脉冲幅度高于

的输入信号。

6.3 单稳态触发器

时间:2008-07-31 06:20:07 来源: 作者: 点击:397

单稳态触发器只有一个稳定状态,在外加脉冲的作用下,单稳态触发器可以从一

个稳定状态翻转到一个暂态,该暂态维持一段时间又回到原来的稳态。

一、用555定时器构成单稳态触发器:

1.电路组成

如图6-7所示,其中R 、C 为单稳态触发器的定时元件,它们的连接点Vc 与定时器的阀值输入端(6脚)及输出端Vo'(7脚)相连。单稳态触发器输出脉冲宽度tpo=1.1RC 。

Ri 、Ci 构成输入回路的微分环节,用以使输入信号Vi 的负脉冲宽度tpi 限制在允许的范围内,一般tpi>5RiCi ,通过微分环节,可使Vi'的尖脉冲宽度小于单稳态触发器的输出脉冲宽度tpo 。若输入信号的负脉冲宽度tpi 本来就小于tpo ,则微分环节可省略。

定时器复位输入端

(4脚)接高电平,控制输入端Vm 通过0.01uF 接地,定时器输出端Vo (3脚)作为单稳态触发器的单稳信号输出端。

2.工作原理

当输入Vi 保持高电平时,Ci 相当于断开。输入Vi'由于Ri 的存在而为高电平Vcc 。此时,①若定时器原始状态为0,则集电极输出(7脚)导通接地,使电容C 放电、Vc =0,即输入6脚的信号低于2/3Vcc ,此时定时器维持0不变。

②若定时器原始状态为1,则集电极输出(7脚)对地断开,Vcc经R向C充电,使Vc电位升高,待Vc值高于2/3Vcc时,定时器翻转为0态。

结论:单稳态触发器正常工作时,若未加输入负脉冲,即Vi保持高电平,则单稳态触发器的输出Vo一定是低电平。

单稳态触发器的工作过程分为下面三个阶段来分析,图6-8为其工作波形图:

①触发翻转阶段:

输入负脉冲Vi到来时,下降沿经RiCi微分环节在Vi'端产生下跳负向尖脉冲,其值低于负向阀值(1/3Vcc)。由于稳态时Vc低于正向阀值(2/3Vcc),固定时器翻转为1,输出Vo为高电平,集电极输出对地断开,此时单稳态触发器进入暂稳状态。

②暂态维持阶段:

由于集电极开路输出端(7脚)对地断开,Vcc通过R向C充电,Vc按指数规律上升并趋向于Vcc。从暂稳态开始到Vc值到达正向阀值(2/3Vcc)之前的这段时间就是暂态维持时间tpo。

③返回恢复阶段:

当C充电使Vc值高于正向阀值(2/3Vcc)时,由于Vi'端负向尖脉冲已消失,Vi'值高于负向阀值(1/3Vcc),定时器翻转为0,输出低电平,集电极输出端(7脚)对地导通,暂态阶段结束。C通过7脚放电,使Vc值低于正向阀值(2/3Vcc),使单稳态触发器恢复稳态。

二、单稳态触发器应用举例

利用单稳态触发器的特性可以实现脉冲整形,脉冲定时等功能。

1.脉冲整形

利用单稳态触发器能产生一定宽度的脉冲这一特性,可以将过窄或过宽的输入脉冲整形成固定宽度的脉冲输出。

如图6-9所示的不规则输入波形,经单稳态触发器处理后,便可得到固定宽度、固定幅度,且上升、下降沿陡峭的规整矩形波输出。

2.脉冲定时:

若将单稳态触发器的输出Vo 接至与门的一个输入脚,与门的另一个输入脚输入高频脉冲序列Vf 。单稳态触发器在输入负向窄脉冲到来时开始翻转,与门开启,允许高频脉冲序列通过与门从其输出端VAND 输出。经过tpo 定时时间后,单稳态触发器恢复稳态,与门关闭,禁止高频脉冲序列输出。由此实现了高频脉冲序列的定时选通功能,工作波形如图6-10 所示:

6.4 多谐振荡器

时间:2008-07-31 06:20:50 来源: 作者: 点击:599

多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩

形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两

个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。

一、用555定时器构成的多谐振荡器

1.电路组成:

用555定时器构成的多谐振荡器电路如图6-11(a)所示:图中电容C、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。定时器的触发输入端(2脚)和阀值输入端(6脚)与电容相连;集电极开路输出端(7脚)接R1、R2相连处,用以控制电容C的充、放电;外界控制输入端(5脚)通过0.01uF电容接地。

2.工作原理:

多谐振荡器的工作波形如图6-11(b)所示:

电路接通电源的瞬间,由于电容C来不及充电,Vc=0v,所以555定时器状态为1,输出Vo为高电平。同时,集电极输出端(7脚)对地断开,电源Vcc对电容C充电,电路进入暂稳态I,此后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。

因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。

二、多谐振荡器应用举例:

1.模拟声响发生器:

将两个多谐振荡器连接起来,前一个振荡器的输出接到后一个振荡器的复位端,后一个振荡器的输出接到扬声器上。这样,只有当前一个振荡器输出高电平时,才驱动后一个振荡器振荡,扬声器发声;而前一个振荡器输出低电平时,导致后面振荡器复位并停止震荡,此时扬声器无音频输出。因此从扬声器中听到间歇式的"呜......呜"声响。

2.电压——频率转换器:

由555定时器构成的多谐振荡器中,若定时器控制输入端(5脚)不经电容接地,而是外加一个可变的电压源,则通过调节该电压源的值,可以改变定时器触发电位和阀值电位的大小。

外加电压越大,振荡器输出脉冲周期越大,即频率越低;外加电压越小,振荡器输出脉冲周期越小,即频率越高。这样,多谐振荡器就实现了将输入电压大小转换成输出频率高低的电压—频率转换器的功能。

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

实验4指导书 555定时器电路设计

实验4 555定时器电路设计 预习内容 阅读《电工电子实验教程》第6.5节中555集成定时器应用的内容。 预习实验的内容,自拟实验步骤和数据表格,完成理论设计,画出原理电路,选择所用元件名称、数量,熟悉元件引脚,手写预习报告。 一、实验目的 1.熟悉集成定时器555的工作原理及应用。 2.熟悉时钟信号产生电路的设计方法。 3.掌握使用定时器555设计多谐振荡器的方法。 二、知识要点 时钟信号在电子电路中有着非常重要的作用,而生成周期时钟信号的方法也有多种。比较常用的方法就是使用555定时器构成多谐振荡器。此电路广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555。555定时器的电源电压范围宽,可在4.5V~16V 工作,7555可在3~18V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 图5-1 555定时器的结构图和引脚分布图 1脚-GND,接地脚; 2脚-Trigger,低电平触发端; 3脚-Output,输出端; 4脚-Reset,复位端,低电平有效; 5脚-Control V oltage,电压控制端; 6脚-Threshold,阈值输入端; 7脚-Discharge,放电端; 8脚-V CC,电源端。 三、实验内容 题目:时钟信号发生电路设计 设计一个电路,能够产生时钟信号,要求信号频率可调,设计范围不小于500Hz~1000Hz,

实验三++555定时器的应用仿真实验

电子技术仿真实验报告实验题目: 3 555定时器的应用仿真实验 班级: 姓名: 学号: 实验日期: 实验成绩:

实验三 555定时器的应用仿真实验 一、实验目的: 1、熟悉555定时器的工作原理。 2、掌握555定时器的典型应用。 3、掌握基于multisim 10.0的555定时器应用仿真。 二、实验原理: 555定时器是一种常见的集数字与模拟功能于一体的集成电路。通常只要外接少量的外围元件就可以很方便地构成施密特触发器、单稳态触发器和多谐振荡器等多种电路。其中: (1) 构成施密特触发器,用于TTL 系统的接口,整形电路或脉冲鉴幅等; (2)构成多谐振荡器,组成信号产生电路; (3)构成单稳态触发器,用于定时延时整形及一些定时开关中。 555应用电路采用这3种方式中的1种或多种组合起来可以组成各种实用的电子电路。 U1 LM555CM GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 GND ——1脚,接地;TRI ——2脚,触发输入;OUT ——3脚,输出;RES ——4脚,复 位(低电平有效);CON ——5脚,控制电压(不用时一般通过一个0.01F 的电容接地);THR ——6脚,阈值输入;DIS ——7脚,放电端;VCC ——8脚,+电源

1、 由555定时器构成多谐振荡器 (1) 接通电源时,设电容的初始电压0=c V ,此时TR V \TH V 均小于1/3Vcc ,放电截止, 输出端电压为高电平,Vcc 通过1R 和2R 对C 充电,Vc 按照指数规律逐步上升。 (2) 当Vc 上升到2/3Vcc 时,放电管导通,输出端电压为低电平,电容C 通过2R 放电,Vc 按照指数规律逐步下降。 (3) 当Vc 下降到1/3Vcc 时,放电管截止,输出端电压由低电平翻转为高电平,电容C 又开始充电。当电容C 充到Vc=2/3Vcc 时,又开始放电,如此周而复始,在输出端即可产生矩形波信号。 矩形波信号的周期取决于电容器充、放电回路的时间常数,输出矩形脉冲信号的周期 C R R T )2(7.021+≈ 2、 施密特触发器是脉冲波形整形和变换电路中经常使用的一种电路。其具有两个稳定 状态,两个稳定状态的维持和相互转换取决于输入电压的高低和,属于电平触发,具有两个不同的触发电平,存在回差电压。由555定时器构成的施密特触发器将555定时器的THR 和TRI 两个输入端连在一起作为信号输入端即可得到施密特触发器。 (1) 当Vi<1/3Vcc 时,输出Vo 为高电平。随着Vi 的上升,只要Vi<2/3Vcc ,输出 信号将维持原状态不变,设此状态为第一稳定状态。 (2) 当Vi 上升到Vi ≥2/3Vcc 时,输出Vo 为低电平。电路由第一稳定状态翻转为第 二稳定状态,电路的正向阈值电压为+T V =2/3Vcc 。随着Vi 上升后又下降的情况,只要Vi 〉1/3Vcc ,电路将维持在第二稳定状态不变。 (3) 当Vi 下降到Vi ≤1/3Vcc 时,电路又翻转到第一稳态,电路的负向阈值电压为 -T V =1/3Vcc 。 三、实验内容: 1、555定时器构成多谐振荡器仿真实验

555定时器的典型应用电路

令狐采学创作 555定时器的典型应用电路 令狐采学 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C 是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因ui=H,所以uo=L。当加入触发信号时,ui=L,所以uo=H,7脚内部的放电管关断,电源经电阻R向电容C充电,uC按指数规律上升。当uC上升到2 VCC/3时,相当输入是高电平,555定时器的输出uo=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2VCC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用tW表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为uc(0)=0V,无穷大值uc(∞)=VCC,τ=RC,设暂稳态的时间为t w,当t= tw时,uc(tw)=2 VCC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于 2 VCC/3,低电平必须小于 VCC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电 管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是RA、RB和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 VCC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2VCC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形 震荡周期的确定: 根据uc(t)的波形图可以确定振荡周期,T=T1+T2 先求T1,T1对应充电,时间常数τ1=(RA+RB)C,初始值为uc(0)= VCC/3,无穷大值u c(∞)=VCC,当t= T1时,uc(T1)=2 VCC/3,代入过渡过程公式,可得 T1=ln2(RA+RB)C≈0.7(RA+RB)C 求T2,T2对应放电,时间常数τ2=RBC,初始值为uc(0)=2 VCC/3,无穷大值uc(∞) =0

555定时器的基本应用及使用方法

555定时器的基本应用及使用方法 我们知道,555电路在应用和工作方式上一般可归纳为3类。每类工作方式又有很多个不同的电路。在实际应用中,除了单一品种的电路外,还可组合出很多不同电路,如:多个单稳、多个双稳、单稳和无稳,双稳和无稳的组合等。这样一来,电路变的更加复杂。为了便于我们分析和识别电路,更好的理解555电路,这里我们这里按555电路的结构特点进行分类和归纳,把555电路分为3大类、8种、共18个单元电路。每个电路除画出它的标准图型,指出他们的结构特点或识别方法外,还给出了计算公式和他们的用途。方便大家识别、分析555电路。下面将分别 介绍这3类电路。 单稳类电路 单稳工作方式,它可分为3种。见图示。 第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1 和1.1.2为代号。他们的输入端的形式,也就是电路的结构特点是: “RT-6.2-CT”和“CT-6.2-RT”。

第2种(图2)是脉冲启动型单稳,也可以分为2个不同的单元。他们的输入特点都是“RT-7.6-CT”,都是从2端输入。1.2.1电路的2端不带任何元件,具有最简单的形式;1.2.2电路则带 有一个RC微分电路。 第3种(图3)是压控振荡器。单稳型压控振荡器电路有很多,都比较复杂。为简单起见,我们只把它分为2个不同单元。不带任何辅助器件的电路为1.3.1;使用晶体管、运放放大器等辅助器件的电路为1.3.2。图中列出了2个常用电路。

双稳类电路 这里我们将对555双稳电路工作方式进行总结、归纳。 555双稳电路可分成2种。 第一种(见图1)是触发电路,有双端输入(2.1.1)和单端输入(2.1.2)2个单元。单端比较器(2.1.2)可以是6端固定,2段输入;也可是2端固定,6端输入。 第2种(见图2)是施密特触发电路,有最简单形式的(2.2.1)和输入端电阻调整偏置或在控制端(5)加控制电压VCT以改变阀值电压的(2.2.2)共2个单元电路。

555定时器的典型应用电路教学文案

555定时器的典型应 用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205] 几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电

平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形 震荡周期的确定: 根据u c(t)的波形图可以确定振荡周期,T=T1+T2 先求T1,T1对应充电,时间常数τ1=(R A+R B)C,初始值为u c(0)= V CC/3,无穷大值u c(∞)=V CC,当t= T 1时,u c(T1)=2 V CC/3,代入过渡过程公式,可得 T1=ln2(R A+R B)C≈0.7(R A+R B)C 求T2,T2对应放电,时间常数τ2=R B C,初始值为u c(0)=2 V CC/3,无穷大值u c(∞) =0V,当t= T2时,u c(T 2)= V CC/3,代入过渡过程公式,可得T2=ln2R B C≈0.7R B C 振荡周期 T= T1+T2=≈0.693(R A+2R B)C 振荡频率

555定时器简单的电路

每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上。一些豪华轿车上,使用单片微型计算机的数量已经达到48个,电子产品占到整车成本的50%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 555定时器可方便地构成单稳态触发器,多谐振荡器,施密特触发器等电路,闪光电路一般是利用多谐振荡器产生的脉冲信号控制而成。 一、电路图如下:

闪光电路原理图1引脚原理图2 分析工作原理的时候,可以对照图1所示,这是一个典型的利用555设计的多谐振荡器,调节可变电阻可以改变输出的振荡信号的频率,信号从3脚输出一个高低电平,控制D1和D2。 当输出高电平的时候,D2亮,D1不亮。当输出低电平的时候,D2不亮,D1亮。总的效果看起来就是闪烁了。

需要制作实物的朋友可以对照图2制作,像这么一个比较简单的电路,可以购买少量的元件,用万能板(洞洞板)焊接而成,当然焊接的时候,需要一定的焊接技术,如果焊接技术不行的朋友,一定要练习焊接技术,我们比较提倡在电子制作过程中采用拖焊技术,具体实物产品,可以参照图3和图4。 二、元件清单如下: 需要制作的朋友,可以到电子市场购买以上元器件,都是非常常用的元器件,容易购买。笔者建议去网上购买,初步估计所有的材料加在一起,价格在5元以内。 三、闪光器实物图 图3 闪光器实物图

图4闪光器背面走线图 在制作的时候,一定要注意555定时器的引脚功能,比如1脚接地,8脚接电源,和普通的DIP集成电路有些不一样,当制作完成的时候,如果LED灯不闪烁,就要检测了,首先检测1脚和8脚电压是否正常,然后再检测4脚电压是否正常,2脚和6脚是否已经连在一起来,如果这些都正常了,故障基本会被排除了。

555定时器实验报告

一、实验目的 二、实验原理 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 2.9.1 和图2.9.2 所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为0,可使RS 触发器置1,使输出端OUT=1。如果阈值输入端 TH 的电压大于2VCC/3,同时 TR 端的电压大于VCC /3,则C1 的输出为 0,C2 的输出为1,可将RS 触发器置 0,使输出为 0 电平。 它的各个引脚功能如下: 1脚:外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS 型时基电路VCC的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端 4脚:是直接清零端。当端接低电平,则时基电路不工作,此时不论、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555时基电路的功能表如表6—1示。 三、实验内容 四、思考题

555定时器声光报警电路 课程设计书

555定时器声光报警电路课程设计书

苏州市职业大学 课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系电子信息工程系 班级10电气4班 姓名齐国昀 学号107301427 系主任张红兵 教研室主任邓建平 指导教师邓建平

目录 第一章绪论 (1) 第二章555定时器声光报警电路设计 (3) 2.1 硬件组成 (3) 2.2 电路原理图 (3) 2.3 电路原 (3) 2.4 性能指标 (3) 第三章主要元器件原理及相关计算 (4) 3.1.主要元器件介绍 (4) 3.1.1 555定时器 (4) 3.1.2 555定时器的电路结构及其功能 4 3.1.3 555定时器的应用分类 (5) 3.2 测量值 (6) 3.3电位器 (6) 3.3.1电位器的作用及特点 (6) 3.4蜂鸣器 (7) 3.4.1蜂鸣器的结构原理 (7) 3.5 发光二极管 (8) 3.6 相关性能指标计算 (8) 第四章焊接及调试过程与注意点 (9) 4.1安装及焊接步骤 (9) 4.1.1查找资料 (9)

4.1.2 准备工具、检测元器件 (9) 4.1.3焊接 (9) 4.2调试及调试后的波形 (10) 第五章心得体会 (11) 第一章绪论 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、

555定时器温度控制电路设计要点

内容摘要 在日常的生产与生活中,温度是一个非常重要的过程变量,因为它直接影响燃烧、化学反应、发酵、烘烤、煅烧、蒸馏、浓度、挤压成形、结晶以及空气流动等物理和化学过程。所以人们需要用到良好的温度检测及控制装置系统来解决这些问题。本文介绍了采用A/D转换、555定时器、AT89C51芯片以及DS1620温度传感器等组成的温度控制系统的设计方法和工作原理。能够通过传感器对温度的感应自动调节加热功率的大小,并且在解决温度检测的基础上,通过555定时器完成对温度的特殊控制。 本设计应用性比较强,设计系统可以作为温度监控系统,如果稍微改装可以做热水器温度调节系统、实验室温度监控系统等等。课题主要任务是完成环境温度检测,利用单片机实现温度调节并通过计算机实施温度监控。设计后的系统具有操作方便,控制灵活等优点。 本设计系统包括温度传感器,A/D转换模块,温度传感器模块,和555定时器,AT89C51芯片等。文中对每个部分功能、实现过程作了详细介绍。整个系统的核心是以555定时器进行温度监控,完成了课题所有要求。 索引关键词:自动控制系统温度传感器 MCS-51 555定时器

目录 第一章绪论 (1) 1.1研究温度控制系统的意义 (1) 1.2 温度控制系统中传感器 (1) 1.3 温度控制系统设计要点 (1) 1.4 温度控制系统设计内容 (1) 第二章硬件系统的构成 (2) 2.1 AT89C51概况 (2) 2.2功能特性概述 (2) 2.3引角功能说明 (2) 2.4时钟振荡器 (4) 2.5空闲节电模式 (4) 2.6掉电模式 (4) 2.7传感器概述 (4) 第三章数字温度测控芯片DS1620的应用 (4) 3.1 概述 (4) 3.2 引脚功能说明 (5) 3.3 操作和控制 (6) 3.4 DS1620有两种操作模式 (6) 3.5 555定时器概述 (8) 3.6 电路图 (10) 后记 (11) 参考文献 (12)

555定时器光控防盗报警电路课程设计报告(含电路图)

摘要 红外线发射电路的功能是利用红外线发光二极管发射光脉冲,从而实现电路对人或物体的感应。红外线接收电路的功能是利用光敏元件接收发射出来的光脉冲,并且将光脉冲信号转化为电信号,同时对其进行放大。声光报警电路的功能是当有人体或物体接近防盗报警电路时,通过声音和显示信号提示主人。时间延迟和自动喷洒电路的功能是当声光报警一段时间之后自动喷洒麻醉剂来保护财产。电源电路的功能是为上述所有电路提供直流电压,该电路也可采用电池供电,但需要注意的问题是选择合适电池的指标参数与电路相匹配。 关键词防盗报警/红外线/555定时器/ LM567锁相环频率解码器

目录 第一章光电报警电路的应用 (3) 第二章电路的组成及其原理 (4) 第一节设计要求 (4) 第二节简易光电报警电路的结构模块图 (4) 第三节工作原理 (5) 一、电源电路 (5) 二、红外发射电路 (6) 三、红外接收电路 (7) 四、选频电路 (7) 五、声光报警电路 (8) 六、时间延迟及麻醉喷射电路 (9) 第三章主要器件使用说明 (11) 第一节 555定时器 (11) 一、内部结构及引脚功能 (11) 二、555的功能描述 (12) 三、555的应用 (13) 四、555管脚图 (14) 第二节 LM567 (14) 一、LM567管脚功能 (14)

二、LM567内部结构及工作原理 (15) 第三节继电器 (17) 第四章个人总结 (18) 参考文献 (21) 附录 (21) 附录1简易光控防盗报警电路总图 (22) 附录2元件参数列表 (23)

第一章光电报警电路的应用 随着时代的不断进步,人们对自己所处环境的安全性提出了更高的要求,尤其是在家居安全方面,不得不时刻留意那些不速之客。所以作为新一代的智能家居安全防盗报警器系统就应运而生,并日益受到广泛的重视和运用。另外,为了进一步规范住宅小区智能化建设,建设部特别制定了智能小区的等级标准,按照其要求智能小区中必须具有安全防范、信息管理、物业管理和信息网络等系统。 因此小区安全防范系统建设已逐渐纳入许多小区建设的必备项目中了。以深圳为例,几乎所有新建的住宅楼盘都预装了防盗系统,并禁止安装防盗网,而上海、广州、温州、南昌等地更是花费重金拆除了防盗网,其防盗功能则必须由电子防盗系统来完成。因此,家庭安防系统必将有很大的发展,并且也将从北京、上海、广东等发达城市向内地蔓延开来,形成一个全新的朝阳产业。

555定时器的原理及三种应用电路

实验10 555定时器的原理及三种应用电路 「、实验目的 (1) 掌握555定时器的电路结构、工作原理。 (2) 熟悉555定时器的功能及应用。 :■、实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 CB555定时器;100Q ~100k Q电阻;0.01~100卩F电容;1k Q和5k Q电位器; 发光二极管或蜂鸣器。 三、实验内容 (1)按图2-10-3连接施密特触发器电路,分别输入正弦波、锯齿波信号,观察并记录输出输入波形。 1?实验原理 当输入电压《::」V cc时,=V TR:::'CC V。为高电平 3 3 1 2 当-V cc : V i:-时,乂保持高电平。 3 3 2 2 当V i ?—V CC,V TH -V TR -V cc 时,V o 为低电平。 3 3 1 2 V由大变小时,即-v cc : V :-时,V)保持低电平。 3 3 一旦V「:-V cc,则V o又回到高电平。 3 2?仿真电路如图:

3?实验结果: 输入正弦波: 输入锯齿波:

(2)设计一个驱动发光二极管的定时器电路,要求每接收到负脉冲时,发光管持续点亮秒后熄灭。 2 1?实验原理: 由555定时器构成单稳态触发器,由单稳态触发器的功能可知,当输入为一个负脉冲 时,可以输出一个单稳态脉宽T W,且T W=1.1RC。所以想要使发光二极管接收到负脉冲时, 持续点亮2S,即要使T W=2S所以,需选定合适的R、C值。选定R、C时,先选定C的值 为100uF,然后确定R的值为18.2k Q。 2.仿真电路如图: 波形图为:

若是1秒或者是5秒。只需改变R 与C 的大小,使得脉冲宽度 T=1.1RC 分别为1或是5 即可。1 秒时: C=1OOuF, R=9.1k Q 5 秒时:C=1OOuF , R=45.5k Q 。 (3) 按图 2-10-7连接电路,取 R 仁1k Q , R2=10k Q ,C 仁0.1卩F,C2=0.01卩F ,观察、记录 V Cr 、V O 的同步波形,测出 V 。的周期并与估算值进行比较。改变参数 R1=15k Q , R2=10k Q ,C1=0.033卩F,C2=0.1卩F ,用示波器观察并测量输出端波形的频率。 经与理论估算值比较, 算出频率的相对误差值。 1?实验原理 555定时器构成多谐振荡器。 1 当加电后,V cc 通过R |,R 2 对R 充电,充电开始时V Cr =V TH =V TR £-V cc ,所以 V O =1。 3 1 2 当V Cr 上升到-V cc

555定时器综合实验报告

课程名称:数字电子技术基础项目名称:灯泡延时电路 项目组成员及分工及成绩评定

目录 1 课程设计目的 (2) 2 课程设计题目及要求 (2) 3 课程设计报告内容 (2) 3.1 按键式延时照明灯方案 (2) 3.2 电路元器件介绍 (3) 3.3 电路功能介绍 (4) 3.3.1 电路制作流程 (4) 3.4 实操连接电路和仿真电路的实现 (5) 3.4.1 电路实物图 (5) 3.4.2 手画电路原理图 (6) 3.4.3 仿真结果 (6) 3.5 电路调试过程 (7) 4总结 (8)

1课程设计目的 (1)掌握进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 (3)提高学生的创新能力。 (4)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2课程设计题目及要求 设计步骤 1.对单稳态电路的设计和元器件参数计算、选择。 2.购买相关器件,采用面包板搭建电路。 3.画出总体电路图。 4.结合仿真结果和电路图安装自己设计的电路,检查线路的准确性。 5.调试电路,将电路用multisim对电路进行仿真。 6.提交符合要求的电路和实验设计报告。 要求 1.输出接LED电路, 2.按键不按LED不亮,当按键按下时LED亮30秒,之后熄灭。 3课程设计报告内容 3.1按键式延时照明灯方案 设计的电路图如下所示

数电课程设计 555定时器

课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系 班级 姓名 系主任 教研室主任 指导教师

目录 第一章绪论 (2) 第二章主要元器件原理及相关计算 (3) 2.1 测量值 (3) 2.2.主要元器件介绍 (3) 2.2.1 555定时器 (3) 2.2.2 555定时器的电路结构及其功能 (4) 2.2.3 555定时器的应用分类 (5) 2.3电位器 (5) 2.3.1电位器的作用及特点 (5) 2.4蜂鸣器 (6) 2.4.1蜂鸣器的结构原理 (6) 2.5 发光二极管 (6) 2.6 相关性能指标计算 (7) 第三章 555定时器声光报警电路设计 (8) 3.1 硬件组成 (8) 3.2 电路原理图 (8) 3.3 印刷板电路图 (8) 3.4 555定时器声光报警电路原理 (9) 3.5 性能指标要求 (9) 第四章焊接及调试过程和注意点 (10) 4.1安装及焊接步骤 (10) 4.1.1查找资料 (10) 4.1.2焊接 (10) 4.2调试及调试的波形 (11) 4.2.1焊接好后的成品图 (11) 4.2.2实验波形 (12) 第五章心得体会 (13) 参考文献 (13)

第一章绪论 555定时器是一种结构简单、使用方便灵活、用途广泛的多功能电路。只要外部配接少数几个阻容元件便可组成施密特触发器、单稳态触发器、多谐振荡器等电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名555定时器的电压范围宽,双极型555定时器为5~16 V,CMOS 555定时器为3~18 V。可提供与TTL及CMOS数字电路兼容的接口电平。555定时器还可以输出一定的功率,可驱动微电机、指示灯、扬声器等。它在脉冲波形的产生与变换、仪器与仪表、测量与控制、家用电器与电子玩具等领域都用着广泛的应用。 TTL单定时器型号的最后3位数为555,双定时器的为556;CMOS但定时器的最后4位数为7555,双定时器的为7556.它们的逻辑功能和外部引线排列完全相同。 555定时器可以说是模拟电路与数字电路结合的典范。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现异步工作,使两个振荡器间隙振荡,这样蜂鸣器就会发出间隙的声响,发光二极管闪烁。

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2V CC/3,低电平必须小于V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图[动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形

实验08 555定时器及其应用

实验八 555定时器及其应用 一、实验目的 1.熟悉并掌握555时基电路的工作原理; 2.熟悉并掌握555构成的单稳态触发器、多谐振荡器、占空比可调的多谐振荡器三种典型电路结构及工作原理; 3.学会应用555时基集成电路。 二、实验任务(建议学时:4学时) (一)基本实验任务 1. NE555构成的单稳态触发器逻辑功能测试; 2. NE555构成的多谐振荡器及参数测试; 3. NE555构成的占空比可调的多谐振荡器及参数测试; (二)扩展实验任务() 1. 555构成的脉冲宽度调制(PWM —Pulse Width Modulation )器。 2. 利用555时基电路设计一个驱动电路,能够实现对LED 灯的亮度调节。 3. 利用555时基电路设计一个线性斜坡电压(Linear Ramp )发生器。 三、实验原理 1.555定时器又称为时基电路,由于它的内部使用了三个5K 的电阻,故取名555。 NE555引脚功能说明: GND :电源地;TRIG :触发端;OUT :输出端;RESET :清零端,低电平有效; CONT :控制端;THRES :阈值电压输入端;DISCH :放电端;Vcc :电源正极; 5K 5K 5K R S RE S Vcc CONT RESET THRES TRIG GND DISCH OUT 12 6 5 84 3 7 (a )引脚排列 (b )内部框图 图8-1 NE555引脚排列及内部框图

555定时器集成芯片型号很多,例如LM555、NE555、SA555、CB555、ICM7555、LMC555等等,尽管型号繁多,但它们的引脚功能是完全兼容的,在使用中可以彼此替换,大多数双极型芯片最后3位数码都是555,大多数CMOS型芯片最后4位数码都是7555(还有部分定时器芯片的命名采用C555来表示CMOS型555定时器,例如LMC555)。另外,还有双定时器型芯片双极型的556和CMOS型的7556、四定时器NE558。 555的引脚排列和内部框图见图8-1,556的引脚排列见图8-2。 图8-2 NE556双定时器引脚排列 2.双极型与CMOS型555定时器芯片的区别 1)双极型555定时器工作电压范围5~15V,其驱动能力强,最大负载电流达±200mA,其构成的多谐振荡器工作频率较低,极限大约为300kHz(不同厂商生产的555定时器其最高振荡频率不一定相同,具体值需要通过查阅厂商提供的芯片参数手册); 2)CMOS型555定时器工作电压范围3~16V,其驱动能力弱,最大负载电流仅有±4mA,其构成的多谐振荡器工作频率较高,可达500kHz(不同厂商生产的555定时器其最高振荡频率不一定相同,具体值需要通过查阅厂商提供的芯片参数手册); 由于CMOS型的555定时器驱动能力很弱,因此,使用CMOS型的555定时器时,当负载工作电流最大值超过±4mA时,需要在CMOS型555定时器的Out端和负载之间加一级缓冲电路以提高CMOS型555定时器的驱动能力。 注意,这里的负载电流正负表示的含义为:负载电流为正时,表示电流由Out端流出,负载电流为负时,表示电流流入Out端。

555定时器声光报警器设计

555定时器声光报警电路 学院名称计算机科学学院 专业计算机科学与技术 班级 2012级计算机科学与技术本科班 甘肃政法学院 2013年12 月3日

目录 绪论 (1) 第1章原理分析 (2) 1.1 原理图 (2) 1.2 能指标要求 (2) 1.3 电路整体分析 (2) 1.4 多谐振荡器电路 (3) 1.4.1电路构成 (3) 1.4.2 电路的工作原理 (3) 第2章器件说明与分析 (4) 2.1 555定时器 (4) 2.1.1结构图和管脚排列图 (4) 2.1.2组成 (5) 2.1.3 各个引脚功能 (6) 2.1.4逻辑功能 (6) 2.2 电位器 (7) 2.3 发光二极管 (7) 2.4 蜂鸣器 (7) 第3章焊接及成果 (8) 3.1 安装及焊接步骤 (8) 3.2 调试 (8) 3.3 焊接注意点 (9) 第4章实验总结......................................................................................... 错误!未定义书签。附录 .. (9) 参考文献......................................................................................................... 错误!未定义书签。

绪论 555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名。此电路后来竟风靡世界。目前,流行的产品主要有4个:BJT两个:555,556(含有两个555);CMOS两个:7555,7556(含有两个7555)。555定时器可以说是模拟电路与数字电路结合的典范。 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现同步工作,使两个振荡器同步振荡,这样蜂鸣器就会发出间隙的声响,发光二极管同时闪烁。

555定时器_电子课程设计解析

目录 摘要 (2) 1. Multisim软件的简介 (4) 2. 系统设计总体方案 (5) 2.1 设计基本思路 (5) 2.2 设计总流程图 (6) 3. 555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518 (10) 3.3 CD4011引脚图 (12) 4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图 (14) 4.1 数字逻辑控制模块 (14) 4.1.1 数字逻辑控制模块电路图 (14) 4.1.2 数字逻辑控制模块原理 (14) 4.2 脉冲信号产生模块 (15) 4.2.1 脉冲信号产生模块电路图 (15) 4.2.2 冲信号产生模块原理 (16) 4.3 计数器计数模块 (17) 4.3.1 计数器计数电路图 (17) 4.3.2 计数器计数模块原理 (18) 4.4 显示器模块 (18) 5. 电路的总体设计与调试 (19)

5.1 总体电路原理图 (19) 5.2 总电路工作原理 (19) 6. 课程设计收获与体会 (20) 7. 参考文献 (21) 摘要 本次课程设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器功能,计时器显示0~99计数,在实际生活中应用很广。根据日常生活中观察,数字式计时器设计成型后供扩展的方面很多,例如自动报警、按时自动打铃等。因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。目前,数字计数器的功能越来越强,并且有多种专门的大规模集成电路可供选择。但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。数字计数器包括组合逻辑电路和时序电路。

相关主题