搜档网
当前位置:搜档网 › DDR3的时钟信号的差分电容详解

DDR3的时钟信号的差分电容详解

DDR3的时钟信号的差分电容详解
DDR3的时钟信号的差分电容详解

差分电容?没看错吧,有这种电容吗?当然是没有的,只是这个电容并联在差分信号P/N中间,所以我们习惯性的叫它差分电容罢了。如下图一中红色框中所示即我们今天的主角,下面容我慢慢给大家介绍。

图一

大家看到它是否有种似曾相识又不曾见过的感觉?确实,它只不过是一个普普通通的不起眼的电容罢了!但是,如果它真的只是一个普通的电容,高速先生也不屑拿出来和大家讲了,其实它普通的表面隐藏着很深的道道。到底有什么呢?嘘!一般人我不告诉他!

图一是Intel平台设计指导上经常可以看到的DDR3时钟拓扑结构,我们也经常会在仿真实践中去人为的添加这个差分电容,如下图二时钟信号一拖四所示为我们在设计中看到的一个真实案例。

图二无差分电容的时钟信号拓扑及波形

虽然看起来这个波形还凑合,没有太大的问题,但还是有优化的余地(工程师的强迫症又来了,真是伤不起啊!),可以通过在前端并联一个电容来优化,如下图三所示为并联了2.2pF差分电容后的拓扑结构和仿真波形。

图三有差分电容的拓扑结构和波形

在前端加了差分电容后,虽然上升沿有微小的变缓,但波形真的是呈现了一个完美的正弦波曲线,振荡消除了,实在是苦逼的工程师们居家(埋头实验室)旅行(客户现场出差)、杀人灭口(消除反射等)之必备良方。此优化设计也已经投入使用,在加了这个电容后系统能稳定运行在800MHz的频率,如果没有焊接这个电容,系统只能稳定运行在667MHz,运行到800MHz时系统时有错误发生。

看到这里,一些脑洞大开的工程师可能会问,这个电容的位置有什么讲究吗?我可不可以把这个电容放在最后面那个颗粒?高速先生就喜欢有人提这种高质量的问题。下面还是看看仿真结果吧。

首先看看将电容放在第一个颗粒处的仿真结果,如下图四所示。

图四、电容在第一个颗粒处的拓扑和波形

可以看出此时波形已经没有放在前端(靠近发送芯片端)时的完美了,甚至出现了振荡的小苗头。接着把电容放在最后一片颗粒处,仿真结果如下图五所示。

图五电容在最后处的拓扑和波形

此时波形振荡甚至比没有电容的效果还明显,仿真结果表明此电容还是不要放在末端为好,最好的位置还是靠近发送端吧。

(完整word版)SerDes知识详解

SerDes知识详解 一、SerDes的作用 1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。 ?时钟到达两个芯片的传播延时不相等(clock skew) ?并行数据各个bit的传播延时不相等(data skew) ?时钟的传播延时和数据的传播延时不一致(skew between data and clock) 虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。 源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,

也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。 我们来做一些合理的典型假设,假设一个32bit数据的并行总线, a)发送端的数据skew = 50 ps ---很高的要求 b)pcb走线引入的skew = 50ps ---很高的要求 c)时钟的周期抖动jitter = +/-50 ps ---很高的要求 d)接收端触发器采样窗口= 250 ps ---Xilinx V7高端器件的IO触发器 可以大致估计出并行接口的最高时钟= 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。 利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。 要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步开关噪声(SSN)。 这里不讨论SSN的原理,直接给出SSN的公式:SSN = L *N* di/dt。 L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。 随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

PCB三种特殊布线分享及检查方法详解

PCB三种特殊布线分享及检查方法详解 手术很重要,术后恢复也必不可少!各种PCB布线完成之后,就ok了吗?很显然,不是!PCB布线后检查工作也很必须,那么如何对PCB设计中布线进行检查,为后来的PCB设计、电路设计铺好路呢?本文会从PCB设计中的各种特性来教你如何完成PCB布线后的检查工作,做好最后的把关工作! 在讲解PCB布线完成后的检查工作之前,先为大家介绍三种PCB的特殊走线技巧。将从直角走线,差分走线,蛇形线三个方面来阐述PCB LAYOUT的走线: 一、直角走线(三个方面) 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。 二、差分走线(等长、等距、参考平面) 何为差分信号(DifferenTIal Signal)?通俗地说就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态0还是1。而承载差分信号的那一对走线就称为差分走线。差分信号和普通的单端信号走线相比,最明显的优势体现在以下三方面: 1、抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可被完全抵消。 2、能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 3、时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。

扩频时钟(SSC)简介

SSC是英文Spread Spectrum Clocking的缩写,中文意思为“扩频时钟”,当下的绝大多数高速芯片,如PCIE、SATA、SAS、等都支持SSC功能。那么SSC究竟是干什么的呢 SSC的主要目的是减小EMI辐射。EMI一直是高速系统设计的难点,在传统设计中,主要通过滤波、接地、屏蔽等方法来减小EMI辐射,这些方法都是通过改变/切断EMI辐射路径来达到减小EMI辐射的目的,往往设计成本比较高,另外还有一种更好的治本方法,那就是在EMI源头上做文章,减小EMI的产生,SSC技术就是其中一种。学过信号与系统课程的同学都知道,对于固定频率的时钟,所有能量都集中在其基频上,其频谱很窄,但幅度很高,对外辐射能量很大,而对于频率变化的时钟,其能量会分散在一定频率范围上。 如上图所示,SSC时钟频谱平均分布在一定范围内,幅度很小,不会产生太大的EMI辐射。 一般用扩展率δ来衡量时钟扩展的深度,假设扩展前时钟频率为fc,频率扩展范围为Δf,则有: 向下方向扩频率:δ = -Δf /fc *100% 中心方向扩频率:δ = ±1/2Δf/fc *100% 向**向扩频率:δ = Δf/fc*100% 扩频率不能太小,也不能太大,太小了达不到预期效果,太大了不能满足总线的时序要求,引起系统误码,大多数高速芯片的SSC扩频率在%左右。

扩频的方法如下:假设有某时钟Y(t) = Asin2πfct,用w(t)波形来对基频时钟进行扩频,则扩频后的时钟Y’(t) = Asin2π(fc+w(t))t,未经扩频的时钟频谱是位于fc 的一条谱线,幅度为:A2/2,由于该频谱只是一条谱线,其幅度与频谱带宽B无关。但是,扩频时钟的频谱幅度取决其带宽B。由于扩频时钟的功率在Δf频带内分布相当均匀,其幅度为:A2B/(2Δf),这样,我们可以得到EMI抑制率S为:S = 10log((A2/2)/( A2B/(2Δf))) = 10log(Δf/B),单位为dB。 SSC的调制率通常用fm表示,也就是w(t)的周期,在该周期内SSC时钟频率变化Δf 并返回到初始频率。调制波形代表扩频时钟频率随时间的变化曲线,通常为锯齿波,如下图所示。 SSC 的使用会影响到串行数据眼图的测量效果,因此在进行信号眼图测量验证时需要选择合适的锁相环。一阶PLL往往不能跟踪SSC 带来的频率变化。测出来的眼图质量很差,而二阶PLL能很好的跟踪时钟频率的变化,所以在测试带SSC功能的SerDes眼图时,注意将CDR的PLL设为二阶。

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

脉冲波形的产生与变换

脉冲波形的产生与变换 脉冲信号是数字电路中最常用的工作信号。脉冲信号的获得经常采用两种方法:一是利用振荡电路直接产生所需的矩形脉冲。这一类电路称为多谐振荡电路或多谐振荡器;二是利用整形电路,将已有的脉冲信号变换为所需要的矩形脉冲。这一类电路包括单稳态触发器和施密特触发器。这些脉冲单元电路可以由集成逻辑门构成,也可以用集成定时器构成。下面先来介绍由集成门构成的脉冲信号产生和整形电路。 9.1 多谐振荡器 自激多谐振荡器是在接通电源以后,不需外加输入信号,就能自动地产生矩形脉冲波。由于矩形波中除基波外,还含有丰富的高次谐波,所以习惯上又把矩形波振荡器叫做多谐振荡器。多谐振荡器通常由门电路和基本的RC电路组成。多谐振荡器一旦振荡起来后,电路没有稳态,只有两个暂稳态,它们在作交替变化,输出矩形波脉冲信号,因此它又被称作无稳态电路。 9.1.1门电路组成的多谐振荡器 多谐振荡器常由TTL门电路和CMOS门电路组成。由于TTL门电路的速度比CMOS门电路的速度快, 故TTL门电路适用于构成频率较高的多谐振荡器,而CMOS门电路适用于构成频率较低的多谐振荡器。 (1)由TTL门电路组成的多谐振荡器 由TTL门电路组成的多谐振荡器有两种形式:一是由奇数个非门组成的简单环形多谐振荡器;二是由非门和RC延迟电路组成的改进环形多谐振荡器。 ①简单环形多谐振荡器

(a) (b) 图9-1 由非门构成的简单环形多谐振荡器把奇数个非门首尾相接成环状,就组成了简单环形多谐振荡器。图9-1(a)为由三个非门构成的多谐振荡器。若uo的某个随机状态为高电平,经过三级倒相后,uo跳转为低电平,考虑到传输门电路的平均延迟时间tpd,uo输出信号的周期为6tpd。图9-1(b)为各点波形图。 简单环形多谐振荡器的振荡周期取决于tpd,此值较小且不可调,所以,产生的脉冲信号频率较高且无法控制,因而没有实用价值。改进方法是通过附加一个RC延迟电路,不仅可以降低振荡频率,并能通过参数 R、C控制振荡频率。 ② RC环形多谐振荡器 如图9-2所示,RC环形多谐振荡器由3个非门(G1、G2、G3)、两个电阻(R、RS)和一个电容C组成。电阻RS是非门G3的限流保护电阻,一般为100Ω左右;R、C为定时器件,R 的值要小于非门的关门电阻,一般在700Ω以下,否则,电路无常工作。此时,由于RC的值较大,从u2到u4的传输时间大大增加, 基本上由RC的参数决定,门延迟时间tpd可以忽略不计。 图9-2 RC环形多谐振荡器 a.工作原理 设电源刚接通时,电路输出端uo为高电平,由于此时电容器C尚未充电,其两端电压为零,则u2、u4为低电平。电路处于第1暂稳态。随着u3高电平通过电阻R对电容C充电,u4电

差分时钟介绍

LVDS原理与应用简介 1 LVDS信号介绍 LVDS:Low Voltage Differential Signaling,低电压差分信号。 LVDS传输支持速率一般在155Mbps(大约为77MHZ)以上。 LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。 IEEE在两个标准中对LVDS信号进行了定义。ANSI/TIA/EIA-644中,推荐最大速率为655Mbps,理论极限速率为1.923Mbps。 1.1 LVDS信号传输组成 TTL TTL LVDS 差分接收器 图1 LVDS信号传输组成图 LVDS信号传输一般由三部分组成:差分信号发送器,差分信号互联器,差分信号接收器。 差分信号发送器:将非平衡传输的TTL信号转换成平衡传输的LVDS信号。通常由一个IC来完成,如:DS90C031 差分信号接收器:将平衡传输的LVDS信号转换成非平衡传输的TTL信号。通常由一个IC来完成,如:DS90C032 差分信号互联器:包括联接线(电缆或者PCB走线),终端匹配电阻。按照IEEE规定,电阻为100欧。我们通常选择为100,120欧。 1.2 LVDS信号电平特性 LVDS物理接口使用1.2V偏置电压作为基准,提供大约400mV摆幅。 LVDS驱动器由一个驱动差分线对的电流源组成(通常电流为3.5mA),LVDS接收器具有很高的输入阻抗,因此驱动器输出的电流大部分都流过100Ω 的匹配电阻,并在接收器

的输入端产生大约350mV 的电压。 电流源为恒流特性,终端电阻在100――120欧姆之间,则电压摆动幅度为:3.5mA * 100 = 350mV ;3.5mA * 120 = 420mV 。 下图为LVDS 与PECL (光收发器使用的电平)电平变化。 图2 LVDS 与PECL 电平图示 由逻辑“0”电平变化到逻辑“1”电平是需要时间的。 由于LVDS 信号物理电平变化在0。85――1。55V 之间,其由逻辑“0”电平到逻辑“1”电平变化的时间比TTL 电平要快得多,所以LVDS 更适合用来传输高速变化信号。其低压特点,功耗也低。 采用低压技术适应高速变化信号,在微电子设计中的例子很多,如:FPGA 芯片的内核供电电压为2。5V 或1.8V ;PC 机的CPU 内核电压,PIII800EB 为1.8V ;数据传输领域中很多功能芯片都采用低电压技术。 1.3 差分信号抗噪特性 从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时, 在发送侧,可以形象理解为: IN = IN+ - IN- - IN- = OUT = IN = IN+ - IN- + q ) - (IN- + q ) = IN+ - IN- = OUT = IN 在接收侧,可以理解为: IN+ 所以: OUT 在实际线路传输中,线路存在干扰,并且同时出现在差分线对上, 在发送侧,仍然是: IN 线路传输干扰同时存在于差分对上,假设干扰为q ,则接收则: (IN+ 所以: OUT

第八章 脉冲波形的产生和变换试题及答案

第八章脉冲波形的产生和变换 一、填空题 1.(10-1中)矩形脉冲的获取方法通常有两种:一种是________________;另一种是________________________。 2.(10-1易)占空比是_________与_______的比值。 3.(10-4中)555定时器的最后数码为555的是(,)产品,为7555的是(,)产品。 4.(10-3中)施密特触发器具有现象;单稳触发器只有个稳定状态。 5.(易,中)常见的脉冲产生电路有,常见的脉冲整形电路有、。 6.(中)为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入。 7.(10-3易)在数字系统中,单稳态触发器一般用于______、 ______、______等。 8.(10-3中)施密特触发器除了可作矩形脉冲整形电路外,还可以作为________、_________。 9.(10-2易)多谐振荡器在工作过程中不存在稳定状态,故又称为________。 10.(10-2中)由门电路组成的多谐振荡器有多种电路形式,但它们均具有如下共同特点: 首先,电路中含有________,如门电路、电压比较器、BJT 等。这些器件主要用来产生________;其次,具有________, 将输出电压器恰当的反馈给开关器件使之改变输出状态;另外,还有,利用RC电路的充、放电特性可实现_______,以获得所需要的振荡频率。在许多实用电路中,反馈网络兼有_____作用。 11.(10-3易)单稳态触发器的工作原理是:没有触发信号时,电路处于一种_______。外加触发信号,电路由_____翻转到_____。电容充电时,电路由______自动返回至______。 二、选择题 1.(10-2中)下面是脉冲整形电路的是()。 A.多谐振荡器触发器 C.施密特触发器触发器 2.(10-2中)多谐振荡器可产生()。

详解差模电压和共模电压-简单易懂

差模电压与共模电压 我们需要的是整个有意义的“输入信号”,要把两个输入端看作“整体”。 就像平面坐标需要用 x,y 两个数表示,而到了高中或大学就只要用一个“数”v,但这个 v 是由 x,y 两个数构成的“向量”…… 而共模、差模正是“输入信号”整体的属性,差分输入可以表示为 vi = (vi+, vi-) 也可以表示为 vi = (vic, vid) c 表示共模, d 表示差模。两种描述是完全等价的。只不过换了一个认识角度,就像几何学里的坐标变换,同一个点在不同坐标系中的坐标值不同,但始终是同一个点。 运放的共模输入范围:器件(运放、仪放……)保持正常放大功能(保持一定共模抑制比 CMRR)条件下允许的共模信号的范围。 显然,不存在“某一端”上的共模电压的问题。但“某一端”也一样存在输入电压范围问题。而且这个范围等于共模输入电压范围。 道理很简单:运放正常工作时两输入端是虚短的,单端输入电压范围与共模输入电压范围几乎是一回事。 对其它放大器,共模输入电压跟单端输入电压范围就有区别了。例如对于仪放,差分输入不是 0,实际工作时的共模输入电压范围就要小于单端输入电压范围了。

可以通俗的理解为: 两只船静止在水面上,分别站着两个人,A和B。 A和B相互拉着手。当船上下波动时,A才能感觉到B变化的拉力。这两个船之间的高度差就是差模信号。 当水位上升或者下降时,A并不能感觉到这个拉力。 这两个船离水底的绝对高度就是共模信号。 于是,我们说A和B只对差模信号响应,而对共模信号不响应。当然,也有一定的共模范围了,太低会沉到水底,这样船都无法再波动了。太高,会使会水溢出而形成水流导致船没法在水面上停留 理论上,A和B应该只是对差模有响应 但实际上,由于船上下颠簸,A和B都晕了,明明只有共模,却产生了幻觉:似乎对方相对自己在动。这就说明,A和B内力较弱,共模抑制比不行啊。 当然,差模电压也不可以太大,否则会导致把A和B拉开。 主要是 “共模是两输入端的算术平均值,差模是直接的同相端与反相端的差值”。 共模电压应当是从源端看进来时,加到放大电路输入端的共同值,差模则是加到放大电路两个输入端的差值。 共模电压有直流的,也有交流的。直流的称为直流共模抑制(比),交流的称为交流共模抑制(比),统称共模抑制(比)。一般

实验8 脉冲信号产生电路

实验8 脉冲信号产生电路 一、实验目的 1. 掌握用基本门电路构成多谐振荡器的方法。 2. 熟悉单稳态触发器的工作原理和参数选择。 3. 熟悉施密特触发器的脉冲整形和应用。 二、实验原理 脉冲信号产生电路是数字系统中必不可少的单元电路。如同步信号、时钟信号和时基信号等都由它产生。产生脉冲信号的电路通常称为多谐振荡器。它不需信号源,只要加上直流电源,就可以自动产生信号。脉冲的整形通常应用单稳态触发器或施密特触发器实现。 脉冲信号的产生与整形可以用基本门电路来实现。现在已经有集成单稳态触发器、集成施密特触发器。另外用555 定时器也可以产生脉冲或实现脉冲整形。本实验主要研究用基本门电路组成的脉冲产生和整形电路。 1. 多谐振荡器 (1) TTL 门电路构成的多谐振荡器 由于 TTL 门电路 速度快,它 适宜于产生 中频段脉冲 源,图2.8.1 是由TTL 反向器构成的全对称多谐振荡器,若取C1= C2 = C,R1= R2= R,则电路完全对称,电容充放电时间相等,其振荡周期近似为T=1.4 RC。一般R1、R2的取值不超过1K,若取R1= R2 = 500Ω ,C1= C2=100pF~100μF,则其振荡频率的范围为几十赫到几十兆赫。 (2) 环形多谐振荡器 图 2.8.2 是用TTL 与非门构成的环形多谐振荡器,图中取R1=100Ω ,R W在2kΩ ~50kΩ之间变化,可调电容C的变化范围是100pF 到50μF,则振荡频率可从数千赫变到数兆赫。电路的振荡周期为T= 2.2 RC,其中R = R1+R W。

(3) 晶体振荡器 用TTL 或CMOS 门电路构成的振荡器幅度稳定性较好,但频率稳定性较差,一般只能达到10-2~10-3数量级。在对频率的稳定度、精度要求高的场合,选用石英晶体组成的振荡器较为适合。其频率稳定度可达10-5以上。图2.8.3 是用CMOS 芯片CD4069 和 晶体构成的多谐振荡器,C o一般取20pF。C S取10~30pF,其输出频率取决于晶体的固有振荡频率。 2. 单稳态触发器 稳态触发器的特点是它只有一个稳定状态,在外来脉冲的作用下,能够由稳定状态翻转到暂稳态。暂稳态维持一段时间TW 以后,将自动返回到稳定状态。TW大小与触发脉冲无关,仅取决于电路本身的参数。单稳态触发器一般用于定时、整形及延时等。单片集成的单稳态触发器有74LS122,CC4098 等。 图 2.8.4 是用与非门构成的微分型单稳态触发器,其输出脉冲宽度为:Tw= 0.8RC。 3. 施密特触发器 施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。一旦外触发电平下降到一定电平 后,电路 立即恢复 到初始稳 态。其工

高速电路 接口电平最佳详解.

高速电路 (由于高速电路有很多参考资料,本文并不侧重全面讲述原理、各种匹配和计算方法,而是侧重评析一些高速电路的优缺点,并对常用电路进行推荐使用。) 一、高速信号简介: 常见的高速信号有几种:ECL电平、LVDS电平、CML电平 其中ECL电平根据供电的不同还分为: ECL――负电源供电(一般为-5.2v) PECL――正5V供电 LVPECL――正3v3供电,还有一种2.5V供电 一般情况下,常见的高速信号都是差分信号,因为差分信号的抗干扰能力比较强,并且自身产生的干扰比较小,能够传输比较高的速率。 二、几种常见的高速信号: 1、PECL电平 从发展的历史来说,ECL信号最开始是采用-5.2V供电的(为何采用负电源供电下面会详细说明),但是负电源供电始终存在不便,后来随着工艺水平的提升,逐渐被PECL 电平(5V供电)所替代,后来随着主流芯片的低电源供电逐渐普及,LVPECL也就顺理成章地替代了PECL电平。

PECL信号的输出门特点: A、输出门阻抗很小,一般只有4~5欧姆左右: a、输出的驱动能力很强;直流电流能达到14mA; b、同时由于输出门阻抗很小,与PCB板上的特征阻抗Z0(一般差分100欧姆),相差 甚远当终端不是完全匹配的时候,信号传到终端后必然有一定的反射波,而反射波传会到源端后,也不能在源端被完全匹配,这样必然发送二次反射。正因为存在这样的二次反射,导致了PECL信号不能传输特别高的信号。一般155M、622M的信号还都在使用PECL/LVPECL信号,到了2.5G以上的信号就不用这种信号了。 c、 B、PECL信号的回流是依靠高电平平面(即VCC)回流的,而不是低电平平面回流。所以, 为了尽可能的避免信号被干扰,要求电源平面干扰比较小。也就是说,如果电源平面干扰很大,很可能会干扰PECL信号的信号质量。 a、这就是ECL信号出现之初为何选用负电源供电的根本原因。一般情况下,我们认为 GND平面是比较干净的平面。因为我们可以通过良好的接地来实现GND的平整(即干扰很小)。 b、从这个角度来说,PECL信号和LVPECL信号都是容易受到电源(VCC)干扰的,所以 必须注意保证电源平面的噪声不能太大。 C、对于输出门来说,P/N二个管脚不管输出是高还是低,输出的电流总和是一定的(即恒 流输出)。恒流输出的特性应该说是所有的差分高速信号的共同特点(LVDS/CML电平也是如此)。这样的输出对电源的干扰很小,因为不存在电流的忽大忽小的变化,这样对电源的干扰自然就比较小。而普通的数字电路,如TTL/CMOS电路,很大的一个弊病就是干扰比较大,这个干扰大的根源之一就是对电源电流的需求忽大忽小,从而导致供电平面的凹陷。 D、PECL的直流电流能达到14mA,而交流电流的幅度大约为8mA(800mV/100ohm),也就 是说PECL的输出门无论是输出高电平还是低电平,都有直流电流流过,换一句话说PECL 的输出门(三极管)始终工作在放大区,没有进入饱和区和截至区,这样门的切换速度就可以做得比较快,也就是输出的频率能达到比较高的原因之一。 下面是PECL电平的输入门结构: 其中分为二种:一种是有输入直流偏置的,一种是没有输入直流偏置,需要外接直流偏置的。 一般情况下,ECL/PECL/LVPECL信号的匹配电阻(差分100欧姆)都是需要外加的,芯片内部不集成这个电阻。 大家可以看到,VCC-1.3V为输入门的中间电平(即输入信号的共模电压),对于LVPECL 来说大约为2V,对于PECL来说为3.7V。 也就是说,我们要判断一个PECL/LVPECL电平输入能否被正常接收,不仅要看交流幅度能否满足输入管脚灵敏度的要求,而且要判断直流幅度是否在正常范围之内(即在VCC-1.3V 左右,不能偏得太大,否则输入门将不能正常接收)。在这一点上与LVDS有很大的差别,务必引起注意。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

什么叫差分信号差分信号详解

什么叫差分信号?差分信号详解 什么叫差分信号?差分信号详解 一个差分信号是用一个数值来表示两个物理量之间的差异。从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的。在某些系统里,系统'地'被用作电压基准点。当'地'当作电压测量基准时,这种信号规划被称之为单端的。我们使用该术语是因为信号是用单个导体上的电压来表示的。 另一方面,一个差分信号作用在两个导体上。信号值是两个导体间的电压差。尽管不是非常必要,这两个电压的平均值还是会经常保持一致。我们用一个方法对差分信号做一下比喻,差分信号就好比是跷跷板上的两个人,当一个人被跷上去的时候,另一个人被跷下来了- 但是他们的平均位置是不变的。继续跷跷板的类推,正值可以表示左边的人比右边的人高,而负值表示右边的人比左边的人高。0 表示两个人都是同一水平。 图1 用跷跷板表示的差分信号 应用到电学上,这两个跷跷板用一对标识为V+和V-的导线来表示。当V+>V-时,信号定义成正极信号,当V+

钟表行业介绍

钟表行业介绍 公司商业模式 业务模式(1)我们不仅要开通实体店的钟表销售,并打算在线销售商品,不单单在各类手表,时钟,更可以广泛涉及电子设备。 赢利模式(1)直接销售收入赚取采购价和销售价之间的差价,产品价格比线下零售店便宜10%;为在线购物的客户做好充分的售后服务,向产业链上的供货商、终端客户提供更多价值。努力实现规模化的商业模式。 .目标客户(1)目标消费群体年龄为25—40岁,绝大部分目标消费者收入较为固定,但是,并不是太高,只有少量消费者例外。这部分目标消费者主要还是看重表的款式、质量、价格和售后服务等。消费者选择时,注重功能利益的趋势已逐渐让位于满足情感和满足身份象征的利益。 4:营销目标:短期目标是提高品牌的知名度,扩大销量,长期目标是提升品牌的形象,树立鲜明的品牌特征。 管理层 行业及市场分析 目前我国钟表市场中,钟表品牌大约有200多个,其中国际品牌有60多个,国产手表虽占有70%的市场份额,但销售收入仅占市场销售额的30%。随着人们消费水平的提高,中国正在成为世界钟表重要的销售市场。瑞士、德国等钟表业的成功,主要靠的是资金、技术和人才,在经营管理上也都处于领先地位,形成了强大的品牌竞争力和经过长期发展所积淀的深厚的企业文化。而人们在提到知名钟表时,首先想到的就是瑞士、德国等国家的产品,这正显示出一个企业品牌和企业文化的影响力。现在的消费者在选购手表时,也变得越来越理性,不会一味地去追求高价,而是会去理解品牌价值, 关注品牌文化,消费者对手表的需求变得越来越个性化。我国国内钟表企业要实现长远发展,提高竞争力,不能只将注意力放在外观设计和工艺上,同时,也应该注重打造品牌,增加钟表企业的文化内涵。我们小组认为中国钟表业已经到了产业升级的关键时刻。 内地手表市场向来是高、中、低路格局。6000元以上的高档产品,基本上是瑞士名品牌的天下,1000元以下的低档产品大部分为国内企业占领。1000~6000元价位的中路集中了钟表市场40%的销售额。瑞士以外的欧美、日韩及香港地区钟表厂商定位于中路,也包括部分瑞士品牌。目前比较畅销的牌子主要有瑞士的Swatch、CK、TAG Heuer,日本的精工、西铁城,美国的Guess等。随着手表从以前的耐用品走向快耗品,在这个方向上,瑞士钟表企业不能做,而我们国内的企业却大有作为,同行的主要竞争对手如飞亚达、罗西尼等众多厂家。 下面让我们来看看国内市场概况 目前在国内占据手表市场的主要品牌有:罗西尼、飞亚达、依波、西铁城、天王、天梭、浪琴、欧米茄、雷达、梅花、卡西欧、劳力士等。国产手表零售额位居前20强的品牌是罗西

LVDS接口详解

1.LVDS输出接口概述 液晶显示器驱动板输出的数字信号中,除了包括RGB数据信号外,还包括行同步、场同步、像素时钟等信号,其中像素时钟信号的最高频率可超过28MHz。采用TTL接口,数据传输速率不高,传输距离较短,且抗电磁干扰(EMI)能力也比较差,会对RGB数据造成一定的影响;另外,TTL 多路数据信号采用排线的方式来传送,整个排线数量达几十路,不但连接不便,而且不适合超薄化的趋势。采用LVDS输出接口传输数据,可以使这些问题迎刃而解,实现数据的高速率、低噪声、远距离、高准确度的传输。 那么,什么是LVDS输出接口呢?LVDS,即Low Voltage Differential Signaling,是一种低压差分信号技术接口。它是美国NS公司(美国国家半导体公司)为克服以TTL电平方式传输宽带高码率数据时功耗大、EMI电磁干扰大等缺点而研制的一种数字视频信号传输方式。 LVDS输出接口利用非常低的电压摆幅(约350mV)在两条PCB走线或一对平衡电缆上通过差分进行数据的传输,即低压差分信号传输。采用LVDS输出接口,可以使得信号在差分PCB线或平衡电缆上以几百Mbit/s的速率传输,由于采用低压和低电流驱动方式,因此,实现了低噪声和低功耗。目前,LVDS输出接口在17in及以上液晶显示器中得到了广泛的应用。 2.LVDS接口电路的组成 在液晶显示器中,LVDS接口电路包括两部分,即驱动板侧的LVDS输出接口电路(LVDS发送器)和液晶面板侧的LVDS输入接口电路(LVDS接收器)。LVDS发送器将驱动板主控芯片输出的17L电平并行RGB数据信号和控制信号转换成低电压串行LVDS信号,然后通过驱动板与液晶面板之间的柔性电缆(排线)将信号传送到液晶面板侧的LVDS接收器,LVDS接收器再将串行信号转换为TTL电平的并行信号,送往液晶屏时序控制与行列驱动电路。图1所示为LVDS接口电路的组成示意图。

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

电磁兼容中三大类PCB布线设计详解

电磁兼容中三大类PCB布线设计详解 从电磁兼容的角度,我们需要对以下四种布线加以关注:A 强辐射信号线(高频、高速、时钟走线为代表)B 敏感信号(如复位信号)C 功率电源信号D 接口信号(模拟接口或数字通信接口) 一、单双面布线设计1.在单层板中,电源走线附近必须有地线与其紧邻、平行走线。减小电源电流回路面积,减小差模环路辐射。 2.电源走线单面板或双面板,电源线走线很长,每隔3000mil 对地加去耦电容(10uF +1000pF)。滤除电源线上地高频噪声。 3.Guide Ground Line对于单、双层板,关键信号线两侧应该布“Guide GroundLine”。关键信号线两侧地“包地线”一方面可以减小信号回路面积,另外还可以防止信号与其他信号线之间的串扰。 4.回流设计在单层板或双层板中,布线时应该注意“回流面积最小化”设计,回路面积越小,回路对外辐射越小,并且搞干扰能力越强。 对于多层板来说,要求关键信号线有完整的信号回流,最后是GND 平面回流。次重要信号有完整平面回流。通过减小回路来防止信号串扰,同时降低对外的辐射。 5.直角走线PCB 走线不能有直角走线。直角走线导致阻抗不连续,导致信号发射,从而产生振铃或过冲,形成强烈的EMI 辐射。 6.PCB走线粗细应一致。粗细不一致时,走线阻抗突变,导致信号反射,从而产生振铃或过冲,形成强烈的EMI 辐射。 7.相邻布线层注意在分层设计时,应避免布线层相邻。如果无法避免,应适当拉大两布线层上的平行信号走线会导致信号串扰。线层之间的层间距,缩小布线层与其信号回路之间的层间距,布线层1与布线层2不宜相邻。 相邻布尽可能避免相邻布线层的层设置,无法避免时,尽量使两布线层中的走线相互垂直或平行走线长度小于1000mil ,这样减小平行走线之间的串扰。

TMS320F28335的时钟介绍

TMS320F28335的时钟介绍 分类:DSP数字信号处理2011-06-22 00:04334人阅读评论(0)收藏举报 TMS320F28335的时钟介绍 TMS320F28335上有一个基于PLL电路的片上时钟模块,为CPU及外设提供时钟有两种方式:一种是用外部的时钟源,将其连接到X1引脚上或者XCLKIN引脚上,X2接地;另一种是使用振荡器产生时钟,用30MHz的晶体和两个20PF的电容组成的电路分别连接到X1和X2引脚上,XCLKIN引脚接地。我们常用第二种来产生时钟。此时钟将通过一个内部PLL锁相环电路,进行倍频。由于F28335的最大工作频率是150M,所以倍频值最大是5。其中倍频值由PLLCR的低四位和PLLSTS的第7、8位来决定。其详细的倍频值可以参照TMS320F28335的Datasheet。下面是F28335的时钟设置: void InitPll(Uint16 val, Uint16 divsel) { // Make sure the PLL is not running in limp mode if (SysCtrlRegs.PLLSTS.bit.MCLKSTS != 0) { // Missing external clock has been detected // Replace this line with a call to an appropriate // SystemShutdown(); function. asm(" ESTOP0"); } // DIVSEL MUST be 0 before PLLCR can be changed from // 0x0000. It is set to 0 by an external reset XRSn // This puts us in 1/4 if (SysCtrlRegs.PLLSTS.bit.DIVSEL != 0) { EALLOW;

示波器常用的探头有哪些(电压、电流、逻辑、差分详解)

示波器常用的探头有哪些(电压、电流、逻辑、差分详解) 示波器探头种类比较多,那么常用示波器探头种类有哪些?示波器探头的种类大体上可以分为电压、电流、逻辑等几大类,如下图所示: 1 无源电压探头1.1 无源探头 无源探头由导线和连接器制成,在需要补偿或衰减时,还包括电阻器和电容器。探头中没有有源器件(晶体管或放大器),因此不需为探头供电。无源探头一般是最坚固、最经济的探头,它们不仅使用简便,而且使用广泛。 1.2 高阻无源电压探头 从实际需要出发,使用最多的是电压探头,其中高阻无源电压探头占最大部分。无源电压探头为不同电压范围提供了各种衰减系数1,10和100。在这些无源探头中,10无源电压探头是最常用的探头。对信号幅度是1V峰峰值或更低的应用,1探头可能要比较适合,甚至是必不可少的。在低幅度和中等幅度信号混合(几十毫伏到几十伏)的应用中,可切换1/10探头要方便得多。但是,可切换1/10探头在本质上是一个产品中的两个不同探头,不仅其衰减系数不同,而且其带宽、上升时间和阻抗(R和C)特点也不同。因此,这些探头不能与示波器的输入完全匹配,不能提供标准10探头实现的最优性能。 1.3 低阻无源电压探头 大多数高阻无源探头的带宽范围在小于100MHz到500MHz或更高的带宽之间。而低阻无源电压探头(又称为50欧姆探头、Zo探头、分压器探头)的频率特性很好,采用匹配同轴电缆的探头,带宽可达10GHz和100皮秒或更快的上升时间。这种探头是为用于50欧姆环境中设计的,这些环境一般是高速设备检定、微波通信和时域反射计(TDR)。 1.4 无源高压探头 高压是相对的概念。从探头角度看,我们可以把高压定义为超过典型的通用10无源探头可以安全处理的电压的任何电压。高压探头要求具有良好的绝缘强度,保证使用者和示波

相关主题