搜档网
当前位置:搜档网 › FPGA CPLD ASIC学习书籍集锦

FPGA CPLD ASIC学习书籍集锦

FPGA CPLD ASIC学习书籍集锦
FPGA CPLD ASIC学习书籍集锦

FPGA|CPLD|ASIC学习书籍集锦

《FPGA设计及应用(第二版)》评价:★★★

作者:褚振勇齐亮田红心高楷娟西安电子科技大学出版社出版日期: 2006年12月第 2 版书号:ISBN 7-5606-1132-X/TP·0574

本书介绍了FPGA的相关基础知识, VHDL硬件描述语言,FPGA开发软件的使用;器件配置与调试;FPGA设计中的基本问题和电路设计实例等。

《CPLD/FPGA应用系统设计与(基础篇)》评价:暂无

作者:亿特科技人民邮电出版社出版日期:2005年7月书号:ISBN 7-115-13200-3/TP.4503

本书介绍了CPLD/FPGA开发工具Quartus II,并精选了10多个实际开发案例进行讲解:16位并行乘法器设计、通用16位乘法器的流水线设计、双端口RAM存储器的设计、同步/异步FIFO存储器的设计、海明码编解码器芯片的设计、RS编解码器芯片设计及其扩展应用、带PWM输出的定时器/计数器芯片设计及其扩展应用、通用存储控制器芯片的设计以及USB2.0接口芯片设计。

《FPGA数字电子系统设计与开发实例导航》评价:暂无

作者:求是科技人民邮电出版社出版日期:2005年6月书号:ISBN 7-115-13189-9/TP.4519

本书首先介绍了FPGA的相关基础知识,然后通过7个在实际工程应用中的案例详细介绍了通过FPGA 实现I2C协议要求的接口、UART控制器、USB接口控制器、数字视频信号处理器、VGA/LCD显示控制器、CAN总线控制器、以太网控制器的方法。

《Altera FPGA/CPLD 设计(

高级篇)》评价: 暂无 作者: EDA 先锋工作室 人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13499-5/TP.4707 本书深讨论了Altera FPGA/CPLD 的设计、优化技巧。在讨论FPGA/CPLD 设计指导原则的基础上,介绍了Altera 器件的高级应用;引领读者学习逻辑锁定设计工具,详细讨论了时序约束与静态时序分析方法;结合实例讨论如何进行设计优化,介绍了Altera 的可编程器件的高级设计工具与系统级设计技巧。 !

《Altera FPGA/CPLD 设计

(基础篇)》评价: 暂无 作者: EDA 先锋工作室 人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13499-5/TP.4707 本书介绍了FPGA/CPLD 的基本设计方法。在介绍FPGA/CPLD 概念的基础上,介绍了Altera 主流FPGA/CPLD 的结构与特点,实例讲解Quartus II 与ModelSim 、Synplify Pro 等常用EDA 工具的开发流程。

《Verilog HDL 程序设计与应用》评价: 暂无 作者:王伟人民邮电出版社 出版日期:2005年7月 书号:ISBN 7-115-13204-6/TP.4534 本书第1部分讲解Verilog HDL 语法知识;第2部分是实例部分,通过从已公布的成熟源代码中精选的50多个最具代表性的建模实例,辅以框图和详细注释帮助读者理解程序,从而学习典型电路单元的建模方法;第3部分是系统设计实战,为初学者展示了一个小型系统的详细设计流程。

《CPLD/FPGA 应用开发技术与工程实践》评价: 暂无 作者: 求是科技 人民邮电出版社 出版日期:2005年1月 书号:ISBN 7-115-12720-4/TP.4272 本书介绍了CPLD 和FPGA 典型产品的结构原理、性能特点以及Altera 公司提供的开发软件-MAX+PLUSII ,列举了VHDL 语言的各种语法结构以及相应的例程。包括组合逻辑电路设计、时序逻辑电路设计、运算电路设计以及存储器电路设计等。最后用4个有一定难度的例程向读者完整地再

《VHDL应用开发技术与工程实践》评价:暂无

作者:求是科技人民邮电出版社出版日期:2005年1月书号:ISBN 7-115-12718-2/TP.4270

本书以VHDL程序设计基础与工程实践为内容,介绍了VHDL程序设计的基础知识和基本技术,并结

合工程实例讲解电路设计的基本流程和VHDL技术的应用,本书选取的工程实例有数据总线控制器的

设计、图像快速傅立叶变换芯片的设计、数值控制振荡器的设计、基于6502框架的8位微处理器

芯片设计以及高精度数字信号处理芯片的设计。

2004年

《基于FPGA的嵌入式系统设计》评价:★★★

作者:任爱锋初秀琴常存孙肖子西安电子科技大学出版社出版日期:2004年10月书号:ISBN

7-5606-1453-1

本书介绍了Altera最新器件,各种最新开发软件,如QuartusII, Debuss, Modelsim, Synplify,以

及NiosII软处理器,IP核等嵌入式系统开发技术, 是反映目前FPGA技术最新发展的一本书籍。

《基于CPLD/FPGA的数字通信系统建模与设计》评价:★★

作者:段吉海黄智伟电子工业出版社出版日期:2004年8月书号:ISBN 7121002124

本书主要介绍了基于CPLD/FPGA芯片和利用VHDL语言实现对常见数字通信单元及系统的建模与设

计。全书针对性和应用性强,可作为通信与信息专业的科研人员及工程技术人员参考。

《可编程器件EDA技术与实践》评价:暂无

作者:李国洪沈明山机械工业出版社 2004年7月 ISBN: 7111146247

本书阐述了基于可编程器件EDA技术的主要内容。包括概述。可编程逻辑器件与数字系统的设计、可编程模拟器件及其开发、EDA软件系统开发工具、硬件描述语言和EDA设计综合实例与实验。缺点是所讲软件偏旧。

《VHDL数字系统设计》(第二版) 评价:暂无

作者:[英]渥伦斯基凌纯清译电子工业出版社出版日期:2004年7月 ISBN 7505399497

本书主要讲述了VHDL的特征,也包含了有关数字系统设计的知识。包括电子设计自动化工具的使用、CMOS和可编程逻辑工艺,布尔代数和组合逻辑设计原则,建模的技术,有限状态机设计,可测试性,以及VHDL-AMS和混合信号建模。

《挑战SOC——基于NIOS的SOPC设计与实践》(附光盘)评价:★

作者:彭澄廉周博等清华大学出版社出版日期:2004年7月书号:ISBN 7302089906

本书介绍Altera公司的软核CPU—Nios和采用该CPU进行嵌入式系统设计的流程与方法。本书阐述了Nios软核处理器的CPU结构、总线传输模式以及相关外设,同时也简要说明了软件的开发过程。

《SystemC 基础教程》评价:暂无

作者:巴斯克尔孙海平译清华大学出版社出版日期:2004年6月书号:ISBN 7302084181

本书主要介绍SystemC的硬件建模,即SystemC的RTL可综合子集。采用该子集编写的模型可以综合成逻辑门电路,进而进入模型的硬件实现阶段。本书专门面向那些希望学习和了解SystemC的设计工程师和系统工程师。是国内不多的介绍SystemC的中文书籍

《可编程器件应用开发指南》评价:暂无

作者:路而红王曼珠梁维铭人民邮电出版社出版日期:2004年5月 ISBN: 7115121222

本书介绍了ispLSI系列器件和模拟可编程器件ispPAC系列器件,以及ABEL-HDL、Lattice开发工具ispDesign EXPERT和PAC-Design的使用,以可综合的设计为重点,介绍了Verilog HDL,对典型EDA软件的使用也作了一些介绍。

《VHDL 语言设计技术》评价:暂无

作者:陈耀和电子工业出版社出版日期:2004年4月书号:ISBN 7505397524

《VHDL应用与开发实践》评价:暂无

作者:甘历科学出版社出版日期:2003年6月 ISBN 7030114256 本

《FPGA/CPLD 设计工具--XilinxISE5.X 使用详解》 评价:★★★

EDA 先锋工作室 人民邮电出版社 出版日期:2003-6 ISBN :7115112665

本书非常详细介绍了ISE5.x 软件的使用,是目前介绍ISE 软件最全面的书籍之一,推荐给ISE 软件的使用者。

《VHDL 数字控制系统设计范例》评价:暂无

林明权等 5053-8386-8/TN.1739 2003-01

《VHDL 与FPGA 设计》 评价:★★

作者:胡振华 中国铁道出版社 出版日期:2003-1 ISBN :7113050468

本书主要结合Modelsim 软件讲述了VHDL 语法,以及利用VHDL 进行FPGA 设计的流程,对Modelsim 的使用有较多讲解,可以当成Modlesim 的使用教材。 总体上看全书内容比较实用,对理解VHDL 的开发流程有很多帮助,但对一些不常用的语法讲述偏多,建议初学者对2-5和第3,4,7,8章可以快速略过,不用深究

《EDA技术实用教程》评价:★★★★

作者:潘松,黄继业科学出版社出版日期:2002-11 编号7-03-010853-1/TP.1844

本书介绍了EDA技术,PLD/FPGA设计以及VHDL语法,其中VHDL语法部分继承了《VHDL实用教程》中的大部分内容,全书内容非常实用,概念清楚,有很多实例,强力推荐!

《集成电路设计VHDL教程》评价:暂无

译作者:赵俊超北京希望电子出版社 2002年8月

《FPGA设计及应用》评价:★★★

褚振勇翁木云西安电子科技大学出版社 2002年7月 ISBN 7-5606-1132-X 30.00

介绍altera器件,MaxplusII,QuartusII,FPGAexpress,Leonardo等软件的使用。书中还讨论了数的表示方法,时钟设计等常见问题,本书收录了许多设计实例。随书送一张光盘,内有altera的数据手册及QuartusII,Leonardo等软件。。这是一本近两年来比较全面系统介绍PLD/FPGA最新技术发展的书籍。

《VHDL 数字系统设计与高层次综合》评价:★★

林敏等编著 2002-01 5053-7094-4/TN.1479 33.00元

着重介绍高层次的系统设计方法,适合中大规模FPGA的设计者和VHDL中高级用户阅读

《可编程逻辑设计技术及应用》评价:

暂无

高书莉罗朝霞人民邮电出版社 2001年9月 ISBN 7-115-09624 35 元

《VHDL实用教程》(修订版)评价:★★★★

潘松王国栋电子科技大学出版社 2001.7 ISBN 7-81065-290-7/TP.172 25.00 元

本书的实用性很强,严格按照硬件设计的思路来讲解VHDL,对语言的理解相当深刻,与国内多数简单的VHDL语法书不在一个技术层面上。本书对VHDL仿真和综合论述的也非常好,有很多实际运用的例子,适合各类层次的VHDL用户阅读。

《VHDL语言程序设计及应用》评价:暂无

姜立东等编北京邮电大学出版社 2001年6月 ISBN:7563505229 38.00元

《VHDL语言100例详解——北京理工大学ASIC研究所》评价: 不推荐

北京理工大学ASIC研究所清华大学出版社,2001年4月版 7-900625-02- 52.00元

里面有不VHDL的例子(但比较简单),对一些概念的理解有帮助。不过大部分例子只适合仿

《VHDL入门与应用》评价:★★

陈雪松滕立中人民邮电出版社 2000.12 ISBN7-115-08950-7/TP.1943 RMB32.00

基本概念清楚,结合实例讲解,例子较多,适合初学者阅读,书中还介绍了MaxplusII和Quartus 软件

《CPLD技术及应用》评价:★★

宋万杰等西安电子科技大学出版社 2000-06 ISBN7-5606-0769-1/TP.0395 RMB25.00

介绍Altera软硬件产品,前半部以翻译Databook为主,后半部的提高篇是作者经验的总结,值得一看。

《大规模可编程逻辑器件及其应用》评价:★★

徐志军等电子科技大学出版社 2000年11月 ISDN7-81065-042-4/TP.266 21.00元

印刷质量略感粗糙,但书写的比较全面和实用,同时介绍Altera,Xilinx,Lattice/Vantis 三家公司的硬件和开发软件,对于全面了解市场上的PLD/FPGA产品很有帮助

《数字电路设计与Verllog HDL》评价:暂无

张亮人民邮电出版社 2000年10月 ISBN 7-115-08770 35 元

《用VHDL设计电子线路》评价:暂无

乔长阁薛宏熙翻译清华大学出版社 2000.8 ISBN7-302-03932-1/TP.2300 RMB42.00

比较详细和系统,有不少例子,本书的一个特点是每章都有练习题和部分答案

《FPGA原理及应用》评价:★★

赵雅兴天津大学出版社 1999 RMB19.00

介绍Altera软硬件产品,软件部分写的比较清楚,硬件部分有些内容略旧,AHDL讲的非常详细,是学习AHDL的好教材

《VHDL硬件描述语言与数字逻辑电路设计——电子工程师必备知识》评价:★★

西电出版社,1999年修订版

书名虽然是长了一点,但是是一本好书,尤其是修订版,改正了第一版不少的错误,值得一读。欢

《可编程逻辑系统的VHDL设计技术》评价:★★

Kevin Skahill 东南大学出版社1998.9 ISBN7-81050-379-0/TP.56 RMB32.00

以Cypress的PLD产品为载体,对可编程逻辑器件的基本概念及原理讲的很清楚,由浅入深的讲述了VHDL,是一很好的VHDL和可编程逻辑器件入门和进阶教材。值得推荐。

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK信号调制原理图 图2 QPSK信号解调原理图 2 QPSK调制电路的FPGA实现及仿真 2.1基于FPGA的QPSK调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 图3 QPSK调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明

qpsk调制解调——基于fpga

一实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q调制器设计、QPSK I/Q解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二实验仪器 计算机ALTER公司的Quartus8.0 EDA试验箱。 三EDA及实验工具简介 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。 quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字

系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。 实验项目设计要求: 利用自己前列试验项目设计结果,构建如下框图所示的调制、解调系统。完成对下述系统的构建、调试、仿真,使之达到运行正确。 D

qpsk调制解调——基于fpga

一 实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q 调制器设计、QPSK I/Q 解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二 实验仪器 计算机ALTER 公司的Quartus8.0 EDA 试验箱。 三 EDA 及实验工具简介 EDA 技术就是以计算机为工具,设计者在EDA 软件平台上,用硬件描述语言VHDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA 技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 应用。 quartus II 是Altera 公司的综合性PLD 开发软件,支持原理图、VHDL 、VerilogHDL 以及AHDL (Altera Hardware Description Language )等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。quartus II 可以在XP 、Linux 以及Unix 上使用,除了可以使用Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现 数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK 信号调制原理图 图2 QPSK 信号解调原理图 2 QPSK 调制电路的FPGA 实现及仿真 2.1基于FPGA 的QPSK 调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 基带信号clk start 串/并转换四选一开关 分 频 0°90°180°270° 调制信号 FPGA 图3 QPSK 调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明 信号yy 载波相位载波波形载波符号 “00”0°f3 “01”90°f2 “10”180°f1 “11”270°f0

论文 基于FPGA的QPSK解调器的设计与实现

基于FPGA 的QPSK 解调器的设计与实现 Design and Realization of QPSK Demodulation Based on FPGA Technique 赵海潮(Zhao ,Haichao ) 周荣花(Zhou ,Ronghua ) 沈业兵(Shen ,Yebing ) 北京理工大学 (北京 100081) 摘要:根据软件无线电的思想,用可编程器件FPGA 实现了QPSK 解调,采用带通采样技术对中频为70MHz 的调制信号采样,通过对采样后的频谱进行分析,用相干解调方案实现了全数字解调。整个设计基于XILINX 公司的ISE 开发平台,并用Virtex-II 系列FPGA 实现。用FPGA 实现调制解调器具有体积小、功耗低、集成度高、可软件升级、扰干扰能力强的特点,符合未来通信技术发展的方向。 关键词:QPSK ;FPGA ;软件无线电;带通采样 中图分类号:TN91 文献标识码:A Abstract : This paper describes the design of QPSK demodulator based on the Xilinx's FPGA device. It is in accord with software radio, bandpass sampling and coherent demodulation techniques are used in the demodulation, and also make analysis with the spectrum. key words : QPSK ;FPGA ;software radio ;bandpass sampling 1、引言 四相相移键控信号简称“QPSK ”。它分为绝对相移和相对相移两种。由于绝对移相方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK 。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。FPGA 器件是八十年代中期出现的一种新概念,是倍受现代数字系统设计工程师欢迎的新一代系统设计方式。FPGA 器件可反复编程,重复使用,没有前期投资风险,且可以在开发系统中直接进行系统仿真,也没有工艺实现的损耗。因此在小批量的产品开发、研究场合,成本很低。 本文按照软件无线电的设计思想,先进行计算机模拟仿真,具体实现中充分利用FPGA 的特点,并通过带通采样技术,成功的实现了对70MHz 中频QPSK 信号的解调。 2、解调器的设计与实现 在全数字实现QDPSK 解调的过程中,与AD 接口的前端需要很高的处理速度,但是这些处理的算法又比较简单,FPGA 器件独特的并行实时处理的特点刚好可以在这里得到体现,因此,ADC 以后的数字信号处理全部由FPGA 来实现。考虑到QDPSK 相干检测比差分检测有 2.3dB 功率增益,选择用相干解调算法实现解调。解调方框图如下: 图1解调框图 本文采用的解调方案是将AD 量化得到的数字信号)(n x 与NCO 产生的一对相互正交的本

基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

1 引言 1.1 研究背景 自1897年意大利科学家G.Marconi首次使用无线电波进行信息传输并获得成功后,在一个多世纪的时间中,在飞速发展的计算机和半导体技术的推动下,无线通信的理论和技术不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。 随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。数字信号传输系统分为基带传输系统和频带传输系统。频带传输系统也叫数字调制系统,该系统对基带信号进行调制,使其频谱搬移到适合信道传输的频带上数字调制信号有称为键控信号。在调制的过程中可用键控[1]的方法由基带信号对载频信号的振幅,频率及相位进行调制最基本的方法有三种:正交幅度调制(QAM)、频移键控(FSK)和相移键控(PSK)。 作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。随着当代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用[2]。目前的模拟调制方式有很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。如果产生每一种信号需要一个硬件电路甚至一个模块,那么能产生几种、十几种通信信号的通信机的电路将相当复杂,体积重量将会很大,而且要增加新的调制方式也是十分困难的。在众多调制方式中,四相相移键控(Quadrature Phase Shift Keying,QPSK)信号由于抗干扰能力强而得到了广泛的应用[3], [4],具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通信等领域中[5],并已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式[6]。现场可编程门阵列(Field Programmable Gate Array,FPGA)是20世纪9年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(ElectronDesign Automation EDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的应用前景[7]。FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。FPGA器

QPSK的FPGA实现

QPSK的FPGA实现 摘要 数字调制解调技术在数字通信中占有非常重要的地位, 数字通信技术与FPGA 的结合是现代通信系统发展的一个必然趋势。QPSK数字调制技术,具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等突出特点,在移动通信、卫星通信中具有广泛应用价值,但是基于FPGA的全数字QPSK 调制解调仍在进一步研究发展中。 本文首先叙述了QPSK调制解调技术的工作原理和数字式调制与解调的特点。其次对QPSK的调制和解调设计展开讨论。设计包括QPSK的调制、解调两部分,基于对整个设计的要求进行分析及对QPSK实现FPGA进行功能的分解,以此划分成比较小的模块,自下而上设计系统;根据QPSK的原理分别画出QPSK调制、解调的实现框图。设计中设定每个比特对应特定的载波,并以载波作为比较,实现最后的对应的输出结果。最后基于VHDL 语言分别完成QPSK的调制与解调,完成系统的设计方案,在MAX+PLUSII 环境下对模块逻辑、时序进行仿真调试的仿真结果表明了该设计的正确性,并综合得出RTL的结构图。 关键词:QPSK,FPGA,调制,解调

FPGA IMPLEMENTATION OF QPSK ABSTRACT Technology of digital modulation and demodulation plays an important role in digital communication system and the combination of digital communication technology and FPGA is certainly a trend.QPSK digital modulation technique has features of high-spectrum utilization ratio,better spectrum specification, stronger anti-interference performance and faster baud rate and has been applied widely in mobile communication system and satellite communication system.But all-digital QPSK modulation and demodulation based on FPGA is still towards further research and development. At first, this paper describes the principle of QPSK modulation and demodulation technology as well as the characteristics of digital modulation and demodulation. In the following words we mainly provide the discussion combined with the research and design of the QPSK modulation and demodulation .This design has two parts, which are QPSK modulation and demodulation .The analysis on the whole design requirement and the decomposition of QPSK function in FPGA lay the basis for the smaller divided modules. Then we can start up the bottom-up design .Respectively, we draw QPSK modulation and demodulation diagram on the basis of the principle of QPSK. The design supposes each bit corresponds to a specific carrier .To achieve the final result of the corresponding output, we should take carrier as a comparison. In the end, we use VHDL to achieve the QPSK modulation and demodulation. After completing the whole system design, it goes on with simulation on module logic, timing in the MAX+PLUSII environment. The simulation results indicate that the design is correct and comprehensively deduce the RTL's chart.

相关主题