搜档网
当前位置:搜档网 › QPSK的FPGA实现

QPSK的FPGA实现

QPSK的FPGA实现
QPSK的FPGA实现

QPSK的FPGA实现

摘要

数字调制解调技术在数字通信中占有非常重要的地位, 数字通信技术与FPGA 的结合是现代通信系统发展的一个必然趋势。QPSK数字调制技术,具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等突出特点,在移动通信、卫星通信中具有广泛应用价值,但是基于FPGA的全数字QPSK 调制解调仍在进一步研究发展中。

本文首先叙述了QPSK调制解调技术的工作原理和数字式调制与解调的特点。其次对QPSK的调制和解调设计展开讨论。设计包括QPSK的调制、解调两部分,基于对整个设计的要求进行分析及对QPSK实现FPGA进行功能的分解,以此划分成比较小的模块,自下而上设计系统;根据QPSK的原理分别画出QPSK调制、解调的实现框图。设计中设定每个比特对应特定的载波,并以载波作为比较,实现最后的对应的输出结果。最后基于VHDL 语言分别完成QPSK的调制与解调,完成系统的设计方案,在MAX+PLUSII 环境下对模块逻辑、时序进行仿真调试的仿真结果表明了该设计的正确性,并综合得出RTL的结构图。

关键词:QPSK,FPGA,调制,解调

FPGA IMPLEMENTATION OF QPSK

ABSTRACT

Technology of digital modulation and demodulation plays an important role in digital communication system and the combination of digital communication technology and FPGA is certainly a trend.QPSK digital modulation technique has features of high-spectrum utilization ratio,better spectrum specification, stronger anti-interference performance and faster baud rate and has been applied widely in mobile communication system and satellite communication system.But all-digital QPSK modulation and demodulation based on FPGA is still towards further research and development.

At first, this paper describes the principle of QPSK modulation and demodulation technology as well as the characteristics of digital modulation and demodulation. In the following words we mainly provide the discussion combined with the research and design of the QPSK modulation and demodulation .This design has two parts, which are QPSK modulation and demodulation .The analysis on the whole design requirement and the decomposition of QPSK function in FPGA lay the basis for the smaller divided modules. Then we can start up the bottom-up design .Respectively, we draw QPSK modulation and demodulation diagram on the basis of the principle of QPSK. The design supposes each bit corresponds to a specific carrier .To achieve the final result of the corresponding output, we should take carrier as a comparison. In the end, we use VHDL to achieve the QPSK modulation and demodulation. After completing the whole system design, it goes on with simulation on module logic, timing in the MAX+PLUSII environment. The simulation results indicate that the design is correct and comprehensively deduce the RTL's chart.

KEY WORDS:QPSK, FPGA, Modulation, Demodulation

目录

前言 (1)

第1章绪论 (1)

§1.1设计的依据与意义 (2)

§1.2同类产品的概况 (2)

第2章数字通信系统 (4)

§2.1通信系统的数字化 (4)

§2.2数字通信与模拟通信的性能比较 (5)

§2.3数字通信系统的基本组成部分 (5)

§2.4数字调制技术 (8)

§2.5数字调制的方法 (9)

§2.5.1PSK--又称相移键控法 (9)

§2.5.2FSK--又称频移键控法 (9)

§2.5.3ASK--又称幅移键控法 (10)

§2.5.4MASK--又称多进制数字调制法 (10)

§2.5.5QAM--又称正交幅度调制法 (10)

§2.5.6MSK--又称最小移频键控法 (11)

§2.5.7GMSK--又称高斯滤波最小移频键控法 (11)

第3章FPGA与VHDL语言介绍 (12)

§3.1FPGA介绍 (12)

§3.1.1FPGA的发展历史 (12)

§3.1.2FPGA的基本特点 (14)

§3.1.3FPGA的优点 (14)

§3.2VHDL语言介绍 (15)

§3.2.1VHDL语言发展回顾 (15)

§3.2.2VHDL系统设计的特点 (15)

§3.2.3VHDL系统优势 (17)

第4章四相移相键控(QPSK) (18)

§4.1QPSK概述 (18)

§4.2QPSK的特点 (18)

§4.3QPSK的原理 (18)

§4.4QPSK的调制和解调 (20)

§4.4.1调制 (20)

§4.4.2解调 (22)

§4.5QPSK应用 (23)

第5章QPSK的FPGA实现 (23)

§5.1引言 (24)

§5.2QPSK调制电路FPGA实现及仿真: (24)

§5.3QPSK解调电路FPGA实现及仿真 (26)

结论 (29)

参考文献 (30)

致谢 (32)

附录 (33)

前言

QPSK是在无线通信中应用比较广泛的一种调制方式,它具有较高的频

谱利用率、较强的抗干扰性。近年来,随着微电子技术的发展,尤其是数字信号处理器芯片速度的提高,数字信号处理技术在通信系统中的应用已越来越引起人们的重视,用原理图和VHDL语言实现全数QPSK调制的思想和方法。作为全数字调制系统的实现,具有其可行性。FPGA是目前硬件设计研究的热点,与传统电路设计方法相比,FPGA具有功能强大,开发过程投资小、周期短,可反复编程修改,开发工具智能化等特点。本设计通过基于FPGA,应用VHDL实现QPSK的调制、解调电路。并在MAX+PLUSII实现仿真,判断电路设计的正确性。

第1章绪论

§1.1设计的依据与意义

近几十年来,卫星通信由于具有覆盖地域广、通信距离远、通信容量大、传输质量好和具有地址连接能力等优点,已成为现在信息社会的一种重要通信手段。数字调制技术作为这个领域极为重要的一个方面,也得到了迅速的发展。

数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用较广泛的一种调制方式。QPSK( 四相相移键控) 具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式。QPSK调制技术与FSK、BPSK等调制技术相比,不但抗干扰能力强,而且能更经济有效地利用频带。目前,QPSK调制技术已广泛应用于数字电视、IEEE 802.11 的2Mbps数据传输机制、数字微波通信系统、军事通信、卫星数据传输、有线电视的上行传输、宽带接入与移动通信等领域。

数字调制解调技术在数字通信中占有非常重要的地位,而数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。FPGA器件(Field programmable Gate Array)是八十年代中期出现的一种新概念。利用FPGA 技术设计的产品具有重量轻、体积小、速度快、保密程度高、功耗低等特点,极大地提高了产品的性价比和竞争力,大大缩短了设计周期,减少了设计费用,降低了设计风险。

§1.2同类产品的概况

目前,随着数字通信系统的发展,数字信号的调制识别技术在军事、民用领域都有十分广泛的应用价值。近年来,各种调制技术层出不穷,一直受到人们的关注。由于QPSK能够非相干解调并且频谱利用率高,所以已用于很多国家的无线通信中,如北美移动/个人无线标准IS-54和IS-136以及欧洲私人商务无线电的TETRA标准等等。在这些应用中,一些高性能的QPSK 调制/解调芯片相继问世。

国外已经有一些关于全数字QPSK调制解调器方面的研究成果和芯片问世,如ST公司ST55、ST5518,比利时NEWTEC公司的NTC-2077/FT 、美国休斯公司的BCD4C-M5000,美国HARRTS公司和德国HISRSCHMANN 赫曼公司也都有相关的芯片。又如INTEL公司的STEL-2176是一款全数字调制解调芯片,兼容IEEE802.14、MCNS和DAVIC等标准。解调部分可直接输入高达50MHZ的中频模拟信号,信号宽带可达8MHZ,可解调16/64/256QAM的连续信号;调制部分,可输出SMHZ-65mhz的连续/突发信号,调制方式可以是BPSK/QPSK/QAM,速率最高可高达40mbps(16QAM)。

与此同时,国内也有一些成果,比如北京海尔集成电路设计有限公司研制的符合DVB-S标准的卫星信号解码器HQPSK-DV。该芯片包括载波恢复、符号同步、解调、前向纠错和码流解扰等功能。

FPGA技术在许多领域均有广泛的应用,特别是在无线通信领域里,由于具有极强的实时性,使其对话音进行实时处理成为可能。由于它是通过面向芯片结构指令的软件编程来实现其功能的,因而仅修改软件而不需改硬件平台就可以改进系统原有设计方案或原有功能,因而具有极大的灵活性;又由于FPGA芯片并非专门为某种功能设计的,因而使用范围广、产量大、价格可以降到很低。就FPGA而言,由于亚微米工艺的采用,其速度更快,门数更多。目前Lucent和XILINX公司均有10万门以上的产品,并且集成了一些新的功能,如System on Chip,Programming on System等,使其更加灵活。所以FPGA在无线通信系统中大量应用,促进了无线通信的发展;而无线通信的蓬勃发展又促进了FPGA技术的不断进步。

第2章数字通信系统

§2.1通信系统的数字化

为什么通信系统,无论是军用系统还是商用系统,都在进行数字化?这有许多原因,其中最主要的原因是:与模拟信号相比,数字信号更易于再生。数字通信系统传输线上是理想的二进制数字脉冲。波形的形状受到两个基本因素的影响:(1)所有传输和电路的频率传递函数都是非理想的;(2)存在电子噪声或其他的干扰,这两个因素都会引起波形的失真,并且此项失真是传输线长度的函数,在传输脉冲仍然能够被可靠识别之前(即在传输脉冲恶化倒模糊状态之前),由数字放大器将脉冲放大,并恢复其最初的理想形状,这样脉冲就“再生”了。在传输系统中,在规则的时间间隔内执行这种功能的电路称为“再生中继器”。

与模拟电路相比,数字电路有更好的抗失真和干扰的能力。二进制数字电路的工作状态只有两个—开或关,因此只有能够把电路从一个状态变换倒另一个状态的干扰才能起到破坏作用。这样的两个状态工作有助于信号的再生,因而能在传输中有效的抑制噪声和其他累计干扰。然而,模拟信号不是“双态”信号,它的波形有无限多个,在模拟电路中,即使很小的干扰也能导致信号产生难以接受的失真,且失真一旦产生,就无法通过放大器来抑制。因为模拟信号不能去除累积的噪声,所以就不能很好地再生信号。若采用数字技术,通过检错与纠错可以获得极低的差错概率从而产生高保真信号,而模拟系统则没有类似的技术。

数字通信系统还有其他的优点:数字电路比模拟电路更可靠,且其产生成本比模拟电路底;数字硬件比模拟硬件更具有灵活性,比如微机处理器、数字开关、大规模集成(LSI)电路等,时分复用的模拟信号更简单;不同类型的数字信号(数报、电报、电话、电视等)在传输和交换中都被堪称是相同的信号——比特信号;为方便交换,还可将数字信号以数据包的形式进行处理。数字技术因为能过抗自然干扰和人为干扰,能够进行加密而更适用于信号处理。计算机于计算机之间、数字设备或终端与电脑之间的数据通信需求越来越多,这些数字终端可以通过数字通信链路获得更好的服务。数字通

信系统获得这些优点的代价是什么?与模拟系统相比,数字系统更需要更多的信号处理技术。在通信的各个阶段,数字系统都需要分配一部分资源用于实现同步,而在模拟系统中,同步相对比较容易。数字通信系统的另一个缺点是具有“门限效应”,即当信噪比下降倒一定限度时,服务质量就会急速恶化,而大部分模拟通信系统服务质量的下降则比较平滑。

§2.2数字通信与模拟通信的性能比较

模拟通信系统与数字通信系统的一个主要区别是性能评估的方法不同。模拟系统的波形是连续的,因而有无穷多个,这说明接收机必需处理无穷多个波形。衡量模拟通信系统的性能的指标是保真标准,如信噪比、百分比失真、发端波形和收端波形之间的期望均方误差。

与模拟通信系统不同,数字通信系统发送的是代表数字的信号,这些数字组成一个有限集或字符表,且对于接收机而言该表是先验而知的。衡量数字通信系统的一个性能参数是错误判决的概率或者差错概率(P E)。

§2.3数字通信系统的基本组成部分

图2-1显示了一个数字通信系统的功能性框图和基本组成部分。信源输出的可以是模拟信号,如音频或是视频信号;也可以是数字信号,如电传机的输出,该信号在时间上是离散的,并且具有有限个输出字符。在数字通信系统中,由信源产生的消息变换成二进制数字序列。理论上,应当用尽可能少的二进制数字表示信源输出(消息)。换句话说,我们要寻求一种信源输出的有效的表示方法,使其很少产生或不产生沉余。将模拟或数字信源的输出有效地变换成二进制数字序列的处理过程称为信号编码或数据压缩。

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK信号调制原理图 图2 QPSK信号解调原理图 2 QPSK调制电路的FPGA实现及仿真 2.1基于FPGA的QPSK调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 图3 QPSK调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明

QPSK调制解调完整程序(配有自己的注释)

QPSK调制解调完整程序(配有注释) clc; clear all; %假定接收端已经实现载波同步,位同步(盲信号解调重点要解决的问题:载波同步(costas环(未见到相关代码)),位同步(Gardner算法(未见相关代码)),帧同步) % carrier frequency for modulation and demodulation fc=5e6; %QPSK transmitter data=5000 ; %码数率为5MHZ %原码个数 rand_data=randn(1,5000); for i=1:data if rand_data(i)>=0.5 rand_data(i)=1; else rand_data(i)=0; end end %seriel to parallel %同时单极性码转为双极性码 for i=1:data if rem(i,2)==1 if rand_data(i)==1 I(i)=1; I(i+1)=1; else I(i)=-1; I(i+1)=-1; end else if rand_data(i)==1 Q(i-1)=1; Q(i)=1; else Q(i-1)=-1; Q(i)=-1; end end end % zero insertion ,此过程称为成形。成形的意思就是实现由消息到波形的转换,以便发射,脉冲成形应该是在基带调制之后。 zero=5; %sampling rate 25M HZ ,明白了,zero为过采样率。它等于采样率fs/码速率。

for i=1:zero*data % 采样点数目=过采样率*原码数目 if rem(i,zero)==1 Izero(i)=I(fix((i-1)/zero)+1); Qzero(i)=Q(fix((i-1)/zero)+1); else Izero(i)=0; Qzero(i)=0; end end %pulse shape filter,接着,将进行低通滤波,因为随着传输速率的增大,基带脉冲的频谱将变宽 %如果不滤波(如升余弦滤波)进行低通滤波,后面加载频的时候可能会出现困难。 %平方根升余弦滤波器 % psf=rcosfir(rf,n_t,rate,fs,'sqrt') rate:过采样率,rf:滚降因子,n_t:滤波器阶数,fs:采样率 %用在调制或发送之前,用在解调或接受之后,用来降低过采样符号流带宽并不引发ISI(码间串扰) NT=50; N=2*zero*NT; % =500 fs=25e6; rf=0.1; psf=rcosfir(rf,NT,zero,fs,'sqrt');% psf大小为500 Ipulse=conv(Izero,psf); Qpulse=conv(Qzero,psf); %为什么数字信号传输也要过采样,成形滤波? %答:过采样的数字信号处理起来对低通滤波器的要求相对较低,如果不过采样,滤波的时候滤波器需要很陡峭,指标会很严格 %成形滤波的作用是保证采样点不失真。如果没有它,那信号在经过带限信道后,眼图张不开,ISI非常严重。成形滤波的位置在基带调制之后。 %因为经成形滤波后,信号的信息已经有所损失,这也是为避免ISI付出的代价。换句话说,成形滤波的位置在载波调制之前,仅挨着载波调制。 %即:(发送端)插值(采样)-成形-滤波(LPF)-加载频(载波调制)-加噪声至(接收端)乘本振-低通-定时抽取-判决。 %modulation for i=1:zero*data+N %采样点数目改变(因为卷积的缘故) t(i)=(i-1)/(fs); %这里因为假设载频与码速率大小相等,所以用载频fc 乘以过采样率=采样率。 Imod(i)=Ipulse(i)*sqrt(2)*cos(2*pi*fc*t(i)); Qmod(i)=Qpulse(i)*(-sqrt(2)*sin(2*pi*fc*t(i))); end sum=Imod+Qmod;

qpsk调制解调——基于fpga

一实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q调制器设计、QPSK I/Q解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二实验仪器 计算机ALTER公司的Quartus8.0 EDA试验箱。 三EDA及实验工具简介 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。 quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字

系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。 实验项目设计要求: 利用自己前列试验项目设计结果,构建如下框图所示的调制、解调系统。完成对下述系统的构建、调试、仿真,使之达到运行正确。 D

QPSK调制解调的simulink仿真

QPSK 调制解调的simulink 仿真与性能分析 一、 设计目的和意义 学会使用MATLAB 中的simulink 仿真软件,了解其各种模块的功能,用simulink 实现QPSK 的调制和仿真过程,得到调制信号经高斯白噪声信道,再通过解调恢复原始信号,绘制出调制前后的频谱图,分析QPSK 在高斯信道中的性能,计算传输过程中的误码率。通过此次设计,在仿真中形象的感受到QPSK 的调制和解调过程,有利于深入了解QPSK 的原理。同时掌握了simulink 的使用,增强了我们学习通信的兴趣,培养通信系统的仿真建模能力。 二、 设计原理 (一)QPSK 星座图 QPSK 是Quadrature Phase Shift Keying 的简称,意为正交移相键控,是数字调制的 一种方式。它规定了四种载波相位,分别为0, 2π, π,32π (或者4 π,34π,54π,74π),星座图如图1(a )、(b )所示。 图1 QPSK 星座图 (二)QPSK 的调制 因为输入信息是二进制序列,所以需要将二进制数据变换成四进制数据,才能和四进制的载波相位配合起来。采取的办法是将二进制数字序列中每两个序列分成一组,共四种组合(00,01,10,11),每一组称为双比特码元。每一个双比特码元是由两位二进制 (a ) (b )

信息比特组成,它们分别代表四进制四个符号中的一个符号。QPSK 每次调制可传输两个信息比特。图2的(a )、(b)、(c)原理框图即为QPSK 的三种调制方式,本次课程设计主要采用的是正交调制方式。 (三)QPSK 的解调 QPSK 信号可以用两个正交的载波信号实现相干解调,它的相干解调器如图3所示,正交路分别设置两个匹配滤波器,得到I (t )和Q (t ),经电平判决和并转串即可恢复出原始信息。 (a )正交调制法 (b )相位选择法 (c )脉冲插入法 图2 QPSK 的主要调制方式

通信原理实验 QPSK调制解调实验

HUNAN UNIVERSITY 课程实验报告 题目:十QPSK调制解调实验 指导教师: 学生姓名: 学生学号: 专业班级:

实验10 QPSK调制解调实验 一、实验目的 1. 掌握QPSK调制解调的工作原理及性能要求;了解IQ调制解调原理及特性 2. 进行QPSK调制、解调实验,掌握电路调整测试方法了解载波在QPSK相干及非相干时的解调特性 二、实验原理 1、QPSK调制原理 QPSK又叫四相绝对相移调制,它是一种正交相移键控。QPSK利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表两个比特信息,因此,对于输入的二进制数字序列应该先进行分组,将每两个比特编为一组,然后用四种不同的载波相位来表征。 用调相法产生QPSK调制原理框图如图所示,QPSK的调制器可以看作是由两个BPSK调 制器构成,输入的串行二进制信息序列经过串行变换,变成两路速率减半的序列,电平发生器分别产生双极性的二电平信号I(t)和Q(t),然后对Acosωt和Asinωt进行调制,相 加后即可得到QPSK信号。 二进制码经串并变换后的码型如图所示,一路为单数码元,另外一路为偶数码元,这两个支路互为正交,一个称为同相支路,即I支路;另外一路称为正交支路,即Q支路

2、QPSK解调原理 由于QPSK可以看作是两个正交2PSK信号的合成,故它可以采用与2PSK信号类似的解调方法进行解调,即由两个2PSK信号相干解调器构成,其原理框图如图 三、实验步骤 在实验箱上正确安装基带成形模块(以下简称基带模块)、IQ调制解调模块(以下简称IQ模块)、码元再生模块(以下简称再生模块)和PSK载波恢复模块。 1、QPSK调制实验 a、关闭实验箱总电源,用台阶插座线完成连接 * 检查连线是否正确,检查无误后打开电源。 b、按基带成形模块上“选择”键,选择QPSK模式(QPSK指示灯亮)。 c、用示波器观察基带模块上“NRZ-I,I-OUT,NRZ-Q,Q-OUT”的信号;并分别与“NRZ IN”信号进行对比,观察串并转换情况。 NRZ-I 与NRZ IN I-OUT与NRZ IN NRZ-Q 与NRZ IN Q-OUT与NRZ IN d、观测IQ调制信号矢量图。

qpsk调制解调——基于fpga

一 实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q 调制器设计、QPSK I/Q 解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二 实验仪器 计算机ALTER 公司的Quartus8.0 EDA 试验箱。 三 EDA 及实验工具简介 EDA 技术就是以计算机为工具,设计者在EDA 软件平台上,用硬件描述语言VHDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA 技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 应用。 quartus II 是Altera 公司的综合性PLD 开发软件,支持原理图、VHDL 、VerilogHDL 以及AHDL (Altera Hardware Description Language )等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。quartus II 可以在XP 、Linux 以及Unix 上使用,除了可以使用Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。

BPSK和QPSK调制解调原理及MATLAB程序

2.1 PSK调制方式 PSK原理介绍(以2-PSK为例) 移相键控(PSK)又称为数字相位调制,二进制移相键控记作2PSK。绝对相移是利用载波的相位(指初相)直接表示数字信号的相移方式。二进制相移键控中,通常用相位0 和π来分别表示“0”或“1”。2PSK 已调信号的时域表达式为s2psk(t)=s(t)cosωct, 2PSK移相键控中的基带信号与频移键控和幅度键控是有区别的,频移键控和幅度键控为单极性非归零矩形脉冲序列,移相键控为为双极性数字基带信号,就模拟调制法而言,与产生2ASK 信号的方法比较,只是对s(t)要求不同,因此2PSK 信号可以看作是双极性基带信号作用下的DSB 调幅信号。 在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化时,则产生二进制移相键控(2PSK)信号。通常用已调信号载波的 0°和 180°分别表示二进制数字基带信号的 1 和 0。二进制移相键控信号的时域表达式为 e2PSK(t)=[ n n a g(t-nT s)]cosw c t 其中, an与2ASK和2FSK时的不同,在2PSK调制中,an应选择双极性。 1, 发送概率为P an= -1, 发送概率为1-P 若g(t)是脉宽为Ts, 高度为1的矩形脉冲时,则有 cosωct, 发送概率为P e2PSK(t)= -cosωct, 发送概率为1-P 由上式(6.2-28)可看出,当发送二进制符号1时,已调信号e2PSK(t)取0°相位,发送二进制符号0时,e2PSK(t)取180°相位。若用φn表示第n个符号的绝对相位,则有 0°, 发送 1 符号 φn= 180°, 发送 0 符号 由于在2PSK信号的载波恢复过程中存在着180°的相位模糊,所以2PSK信

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现 数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK 信号调制原理图 图2 QPSK 信号解调原理图 2 QPSK 调制电路的FPGA 实现及仿真 2.1基于FPGA 的QPSK 调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 基带信号clk start 串/并转换四选一开关 分 频 0°90°180°270° 调制信号 FPGA 图3 QPSK 调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明 信号yy 载波相位载波波形载波符号 “00”0°f3 “01”90°f2 “10”180°f1 “11”270°f0

QPSK调制解调完整程序(配有自己的注释)知识分享

Q P S K调制解调完整程序(配有自己的注释)

QPSK调制解调完整程序(配有注释) clc; clear all; %假定接收端已经实现载波同步,位同步(盲信号解调重点要解决的问题:载波同步(costas环(未见到相关代码)),位同步(Gardner算法(未见相关代码)),帧同步) % carrier frequency for modulation and demodulation fc=5e6; %QPSK transmitter data=5000 ; %码数率为5MHZ %原码个数 rand_data=randn(1,5000); for i=1:data if rand_data(i)>=0.5 rand_data(i)=1; else rand_data(i)=0; end end %seriel to parallel %同时单极性码转为双极性码 for i=1:data if rem(i,2)==1 if rand_data(i)==1 I(i)=1; I(i+1)=1; else I(i)=-1; I(i+1)=-1; end else if rand_data(i)==1 Q(i-1)=1; Q(i)=1; else Q(i-1)=-1; Q(i)=-1; end

end end % zero insertion ,此过程称为成形。成形的意思就是实现由消息到波形的转换,以便发射,脉冲成形应该是在基带调制之后。 zero=5; %sampling rate 25M HZ ,明白了,zero为过采样率。它等于采样率fs/码速率。 for i=1:zero*data % 采样点数目=过采样率*原码数目 if rem(i,zero)==1 Izero(i)=I(fix((i-1)/zero)+1); Qzero(i)=Q(fix((i-1)/zero)+1); else Izero(i)=0; Qzero(i)=0; end end %pulse shape filter,接着,将进行低通滤波,因为随着传输速率的增大,基带脉冲的频谱将变宽 %如果不滤波(如升余弦滤波)进行低通滤波,后面加载频的时候可能会出现困难。 %平方根升余弦滤波器 % psf=rcosfir(rf,n_t,rate,fs,'sqrt') rate:过采样率,rf:滚降因子,n_t:滤波器阶数,fs:采样率 %用在调制或发送之前,用在解调或接受之后,用来降低过采样符号流带宽并不引发ISI(码间串扰) NT=50; N=2*zero*NT; % =500 fs=25e6; rf=0.1; psf=rcosfir(rf,NT,zero,fs,'sqrt');% psf大小为500

论文 基于FPGA的QPSK解调器的设计与实现

基于FPGA 的QPSK 解调器的设计与实现 Design and Realization of QPSK Demodulation Based on FPGA Technique 赵海潮(Zhao ,Haichao ) 周荣花(Zhou ,Ronghua ) 沈业兵(Shen ,Yebing ) 北京理工大学 (北京 100081) 摘要:根据软件无线电的思想,用可编程器件FPGA 实现了QPSK 解调,采用带通采样技术对中频为70MHz 的调制信号采样,通过对采样后的频谱进行分析,用相干解调方案实现了全数字解调。整个设计基于XILINX 公司的ISE 开发平台,并用Virtex-II 系列FPGA 实现。用FPGA 实现调制解调器具有体积小、功耗低、集成度高、可软件升级、扰干扰能力强的特点,符合未来通信技术发展的方向。 关键词:QPSK ;FPGA ;软件无线电;带通采样 中图分类号:TN91 文献标识码:A Abstract : This paper describes the design of QPSK demodulator based on the Xilinx's FPGA device. It is in accord with software radio, bandpass sampling and coherent demodulation techniques are used in the demodulation, and also make analysis with the spectrum. key words : QPSK ;FPGA ;software radio ;bandpass sampling 1、引言 四相相移键控信号简称“QPSK ”。它分为绝对相移和相对相移两种。由于绝对移相方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK 。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。FPGA 器件是八十年代中期出现的一种新概念,是倍受现代数字系统设计工程师欢迎的新一代系统设计方式。FPGA 器件可反复编程,重复使用,没有前期投资风险,且可以在开发系统中直接进行系统仿真,也没有工艺实现的损耗。因此在小批量的产品开发、研究场合,成本很低。 本文按照软件无线电的设计思想,先进行计算机模拟仿真,具体实现中充分利用FPGA 的特点,并通过带通采样技术,成功的实现了对70MHz 中频QPSK 信号的解调。 2、解调器的设计与实现 在全数字实现QDPSK 解调的过程中,与AD 接口的前端需要很高的处理速度,但是这些处理的算法又比较简单,FPGA 器件独特的并行实时处理的特点刚好可以在这里得到体现,因此,ADC 以后的数字信号处理全部由FPGA 来实现。考虑到QDPSK 相干检测比差分检测有 2.3dB 功率增益,选择用相干解调算法实现解调。解调方框图如下: 图1解调框图 本文采用的解调方案是将AD 量化得到的数字信号)(n x 与NCO 产生的一对相互正交的本

实验九 QPSK调制与解调

实验九、QPSK 、QDPSK 调制与解调 一、实验目的 1、掌握QPSK 调制与解调的基本原理及实现方法。 2、掌握QDPSK 调制与解调的基本原理及实现方法。 3、分析QPSK 、QDPSK 系统的有效性和可靠性。 二、实验原理 为提高通信的有效性,最常用的办法的是采用多进制的数字调制。MPSK 和MDPSK 就是多进制的数字相移键控即多相制信号,前者称为多进制绝对相移键控,后者称为多进制相对(差分)相移键控,它们都用M 个相位不同的载波来表示M 个不同的符号。一般来说,有n M 2=,因此,一个符号可以代表n bit 的二进制码元。 1、QPSK 信号分析 QPSK (Quadrature Phase Shift Keying ,正交相移键控)又叫四相绝对相移键控(4PSK ),它利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表2bit 信息,故每个四进制符号又被称为双比特码元。把组成双比特码元的前一信息比特记为a 码,后一信息比特记为b 码,为使接收端误码率最小化,双比特码元(a ,b )通常按格雷码(Gray code )方式排列,即任意两个相邻的双比特码元之间只有一个比特发生变化。图9.1给出了双比特码元(a ,b )与载波相位的对应关系,其中图(a )表示A 方式,图(b )表示B 方式。 图9.1 QPSK 信号相位矢量图 (a )A 方式(2/π系统) (b )B 方式(4/π系统)

根据相位矢量图,得到双比特码元与载波相位之间的对应关系,如表9.1所示。 A 方式的QPSK 信号可表示为 )2 cos()cos()(πωθωn t t t s c n c +=+=,3 ,2 ,1 ,0=n B 方式的QPSK 信号可表示为 )4 1 2cos()cos()(πωθω++ =+=n t t t s c n c ,3 ,2 ,1 ,0=n 由于QPSK 信号普遍采用正交调制(又称IQ 调制)法产生,故QPSK 信号统一表示为 t Q t I t t s c c n c ωωθωsin cos )cos()(?-?=+= 这样,将a 码送入I 路,b 码送入Q 路,然后将I 路信号与载波t c ωcos 相乘,Q 路信号与正交载波t c ωsin 相乘,之后通过加法器相加,即可得到QPSK 信号。 2、QPSK 调制 以B 方式为例,QPSK 信号的产生方法有两种:一是正交调制法,二是相位选择法。 (1)正交调制(IQ 调制)法 二进制调相信号通常采用键控法,而多进制调相信号普遍采用IQ 调制法产生。正交调制法产生QPSK 信号的原理框图如图9.2所示,它可以看成由两个2PSK 调制器构成,上支路将a 码与余弦载波相乘,下支路将b 码与余弦载波相乘,这样产生载波相互正交的两路2PSK 信号,再将这两路信号相加,通过矢量合成便是QPSK 信号。 图9.2 正交调制法产生QPSK 信号 (a )原理框图 (b )矢量合成原理 图中输入的数字基带信号)(t A 是二进制的单极性不归零码,通过“串/并变换”电路变成并行的两路码元a 和b 后,其每个码元的传输时间是输入码元的2倍,且单极性信号将变为双极性信号。其变换关系式将“1”变为“+1”、“0”变为“-1”。“串/并变换”过程如图9.3所示,图中0、1、2等表示为二进制基带码元的序号。 从电路实现的角度看,串并变换实现了双比特码元和I 、Q 两路信号幅度之间的映射,如表9.2所示。IQ 信号幅度只有2种取值,设为2/1是为了保证输出QPSK 信号幅度为1。 ) 1(a )0(a ) 1(b ) 0(b ) 1 ,1() 0 ,0() 0 ,1() 1 ,0(

QPSK调制解调

QPSK 即4PSK ,正交相移调制。 在看QPSK 之前,先看一下通信系统的调制解调的过程 为了方便分析,先假设这里是理想信道,没有噪声,接收端已经载波同步,位同步。 调制后的信号数学模型为:cos()c A w t φ+ 上述的x(t)被调制到了A,?上。 如果调制信息在A 上,就是调幅,如果调制信息在φ上,就是调相。 QPSK 正是通过调整φ的变化,来传输信息。φ分别取45135225,315????,,4个相位表示00,01,10,11表示4个信息,调制后的信号表达式为: cos(45),00cos(135),01()cos(225),10 cos(315),11c c c c A w t x A w t x s t A w t x A w t x ?????+=?+=?=?+=??+=? (cos cos 45sin sin 45),00(cos cos135sin sin135),01()(cos cos 225sin sin 225),10 (cos cos315sin sin 315),11c c c c c c c c A w t w t x A w t w t x s t A w t w t x A w t w t x ?????????-=?-=?=?-=??-=? sin ),00cos sin ),01()cos sin ),10sin ),11c c c c c c c c w t w t x w t w t x s t w t w t x w t w t x -=-+==--=+= 这样的话,我们调制任何一个信号,都可以转化为调制在同一时刻的两路上的幅度调制后再相加合并为一路输出,而调制模型cos()c A w t φ+中任意的A 和φ,根据正交分解的原理,又可以分解到两个相互正交个坐标轴上,这就是星座映射、IQ 分路的本质原理。又由于cos()sin()c jw t c c e w t j w t =+,所有我们又经常把需要IQ 分路的调制用c jw t e 这样的复数来表示,也经常说IQ 分别是实部,虚部。当然这么说是不准确的,IQ 两路就是同相和正交,而且默认的调制模型是cos()c A w t φ+,以上才成立。

实验九 QPSK调制与解调实验报告

实验九QPSK/OQPSK 调制与解调实验 一、实验目的 1、了解用CPLD 进行电路设计的基本方法。 2、掌握QPSK 调制与解调的原理。 3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。 二、实验内容 1、观察QPSK 调制的各种波形。 2、观察QPSK 解调的各种波形。 三、实验器材 1、信号源模块 一块 2、⑤号模块 一块 3、20M 双踪示波器 一台 4、 连接线 若干 四、实验原理 (一)QPSK 调制解调原理 1、QPSK 调制 QPSK 信号的产生方法可分为调相法和相位选择法。 用调相法产生QPSK 信号的组成方框图如图12-1(a )所示。图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a 和b ,每一对ab 称为一个双比特码元。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b )中虚线矢量。将两路输出叠加,即得如图12-1(b )中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。 (a ) a(0)b(0) b(1) a(1) (b ) 图12-1 QPSK 调制 /并变换。串/并变换器将输入的二进制序列分为两个并行的双极性序列110010*********和

111101*********。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,然后将两路输出叠加,即得到QPSK 调制信号。 2、QPSK 解调 图12-2 QPSK 相干解调器 由于四相绝对移相信号可以看作是两个正交2PSK 信号的合成,故它可以采用与2PSK 信号类似的解调方法进行解调,即由两个2PSK 信号相干解调器构成,其组成方框图如图12-2所示。图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。 (二)OQPSK 调制解调原理 OQPSK 又叫偏移四相相移键控,它是基于QPSK 的改进型,为了克服QPSK 中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。若将QPSK 中并行的I ,Q 两路码元错开时间(如半个码元),称这类QPSK 为偏移QPSK 或OQPSK 。通过I ,Q 路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。 下面通过一个具体的例子说明某个带宽波形序列的I 路,Q 路波形,以及经载波调制以后相位变化情况。 若给定基带信号序列为1 -1 -1 1 1 1 1 -1 -1 1 1 -1 对应的QPSK 与OQPSK 发送波形如图12-3所示。 1-1-11111-1-111-1111-11-111-11-1-111-11-1 基基基基I 基基Q P S K ,O Q P S K Q 基基 Q P S K Q 基基O Q P S K -1 图12-3 QPSK,OQPSK 发送信号波形 图12-3中,I 信道为U (t )的奇数数据单元,Q 信道为U (t )的偶数数据单元,而OQPSK 的Q 信道与其I 信道错开(延时)半个码元。 QPSK ,OQPSK 载波相位变化公式为 {}()33arctan ,,,()44 44j i j i Q t I t ππ?ππ? ????? =--???? ?????? ?@ QPSK 数据码元对应的相位变化如图12-4所示,OQPSK 数据码元对应相位变化如图 12-5所示

利用MATLAB实现QPSK调制及解调

郑州轻工业学院 课程设计说明书题目:利用MATLAB实现QPSK调制及解调 姓名: 院系:电气信息工程学院 专业班级:电子信息工程09-1 学号: 540901030154 指导教师:赵红梅 成绩: 时间: 2012 年 6 月 18 日至 2012 年 6 月 22 日

郑州轻工业学院 课程设计任务书 题目利用MATLAB实现QPSK调制及解调 专业班级电子信息工程09级 1班学号 54 姓名 主要内容、基本要求、主要参考资料等: 主要内容: 已知数字信号1011000101101011,码元速率为2400波特,载波频率为1200Hz,利用MATLAB画出QPSK调制波形,并画出调制信号经过高斯信道传输后解调波形及接收误码率,将其与理论值进行比较。 基本要求: 1、通过本课程设计,巩固通信原理QPSK调制的有关知识; 2、熟悉QPSK产生原理; 3、熟悉高斯信道的建模及QPSK解调原理; 4、熟悉误码率的蒙特卡罗仿真; 5、学会用MATLAB来进行通信系统仿真。 主要参考资料: 主要参考资料: 1、王秉钧等. 通信原理[M].北京:清华大学出版社,2006.11 2、陈怀琛.数字信号处理教程----MATLAB释义与实现[M].北京:电子工业出版社,2004. 完成期限:2012.6.18—2012.6.23 指导教师签名: 课程负责人签名: 2012年6月16日

目录 一前言 (4) 1.1QPSK系统的应用背景简介 (4) 1.2 QPSK实验仿真的意义 (4) 1.3 实验平台和实验内容 (5) 1.3.1实验平台 (5) 1.3.2实验内容 (5) 二、系统实现框图和分析 (5) 2.1、QPSK调制部分, (5) 2.2、QPSK解调部分 (7) 三、实验结果及分析 (7) 3.1、理想信道下的仿真 (7) 3.2、高斯信道下的仿真 (8) 3.3、先通过瑞利衰落信道再通过高斯信道的仿真 (9) 参考文献: (11) 附录 (12)

基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

1 引言 1.1 研究背景 自1897年意大利科学家G.Marconi首次使用无线电波进行信息传输并获得成功后,在一个多世纪的时间中,在飞速发展的计算机和半导体技术的推动下,无线通信的理论和技术不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。 随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。数字信号传输系统分为基带传输系统和频带传输系统。频带传输系统也叫数字调制系统,该系统对基带信号进行调制,使其频谱搬移到适合信道传输的频带上数字调制信号有称为键控信号。在调制的过程中可用键控[1]的方法由基带信号对载频信号的振幅,频率及相位进行调制最基本的方法有三种:正交幅度调制(QAM)、频移键控(FSK)和相移键控(PSK)。 作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。随着当代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用[2]。目前的模拟调制方式有很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。如果产生每一种信号需要一个硬件电路甚至一个模块,那么能产生几种、十几种通信信号的通信机的电路将相当复杂,体积重量将会很大,而且要增加新的调制方式也是十分困难的。在众多调制方式中,四相相移键控(Quadrature Phase Shift Keying,QPSK)信号由于抗干扰能力强而得到了广泛的应用[3], [4],具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通信等领域中[5],并已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式[6]。现场可编程门阵列(Field Programmable Gate Array,FPGA)是20世纪9年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(ElectronDesign Automation EDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的应用前景[7]。FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。FPGA器

MATLAB QPSK调制与解调

实验名称:QPSK调制与解调 一、实验目的: 1、学会QPSK调制与解调系统的构成 2、学会QPSK调制与解调系统的各模块的构建 3、学会误码率与误符号率的统计方法以及Matlab算法 二、实验原理: 1、QPSK:四进制绝对相移键控,也称为多进制数字相位调制,利用载波的四种不同相位状态来表征数字信息的调制方式。 2、QPSK的调制方法有正交调制方式(双路二相调制合成法或直接调相法)、相位选择法、插入脉冲法。 调制与解调系统的构成: 3、各模块的实现方法:

(1)、信源的产生:使用randint(m,n,2) 函数产生一个m 行n 列的随机二进制数列 (2)、QPSK 符号映射 :将产生的0,1比特流按照QPSK 调制方式进行映射,本实验采用π/4 QPSK 的调制方式,图为: (3)、AWGN 信号产生:AWGN 产生器就是产生满足均值为0,方差为1的高斯白噪声。实验中使用randn(m,n)函数产生一个m 行n 列的高斯噪声序列。 (4)、信号幅度控制:根据AWGN 信道模型,接收信号可以分别表示为 α就是当噪声功率归一化为1(0均值,方差为1)时,根据信噪比关系而计算出来的信号平均幅度 I I I r s n α=+Q Q Q r s n α=+22210log 10^10s s n n v SNR SNR v sqrt v v ??????=?=* ? ? ???????

(5)、QPSK 反映射及判决 :对接收到的信号在4种可能的四种信号向量[(1,0), (0,1), (-1,0), (0,-1)]上投影(即进行点积)。投影最大的值所对应的信号向量就是所发送信号的符号值,然后恢复出比特流 (6)、误码率及误符号率统计: 误码率:将检测出来的比特流和发送的原始比特流进行比较,统计出出现错误的比特数 误符号率:将检测出来的比特流变成两组,构成符号,和发送端符号映射后的符号流进行比较,只要符号中任错一bit ,就算该符号出错。统计出现错误的符号数 三、 实验内容: 1、建立QPSK 的Matlab 仿真模型 2、对仿真模型中各个组成部分进行函数设计和功能仿真 randn('seed',10);mark=randn(1,LENGTH); subplot(2,2,1);plot(mark);title('watermarc:Gaussian noise'); 3、成型滤波器的设计 4、带限信道中的QPSK 调制解调 四、实验步骤: 1、开机,设置好本次仿真目录 2、进入matlab 环境,设置工作路径和目录 3、按照实验方法,一步步进行QPSK 各个模块的设计 s v α=

0QPSK调制解调实验报告

0QPSK调制解调实验报告 一、实验目的 1.掌握0QPSK调制解调原理。 2.理解0QPSK的优缺点。 二、实验内容 1.观察0QPSK调制过程各信号波形。 2.观察0QPSK解调过程各信号波形。 三、预备知识 1.0QPSK调制解调的基本原理。 2. 0QPSK调制解调模块的工作原理及电路说明。 四、实验器材 1. 移动通信原理实验箱。 2.20M数字双踪示波器。 五、实验原理 0QPSK调制解调原理 0QPSK又叫四相相移键控,它通QPSK的不同之处是在正交支路引入了一个码元(Ts)的延时,这使得两个支路的数据错开了一个码元时间,不会同时发生变化,而不像QPSK那样产生±π的相位跳变,而仅能产生±π/2的相位跳变,如图4-1所示。 从图4-1星座图和相位转移图中看出对于1QPSK,±π相位的跳变消除了,所以1QPSK 信号的带限不会导致信号包络经过零点。0QPSK包络的变化小多了,因此对1QPSK的硬限幅或非线性放大不会再产生严重的频带扩展,0QPSK即使在非线性放大后仍能保持其带限的性质。0QPSK的调制方法和QPSK一样。 图4-1 QPSK和0QPSK的星座图和相位转移图

1) 六、实验步骤 1.A 方式的0QPSK 调制实验 (1)将“调制类型选择”拨码开关拨为00001000、0001,则调制类型选择为A 方式的0QPSK 调制。 (2)分别观察并说明NRZ 码经串并转换得到的‘DI ’、‘DQ ’两路的一个周期的数据波形。 CH1:NRZ CH2:DI CH1:NRZ CH2:DQ (3)双踪观察并分析说明‘DI ’与‘I 路成形’信号波形;‘DQ ’与‘Q 路成形’信号波形;

相关主题