搜档网
当前位置:搜档网 › 半导体专业名词解释

半导体专业名词解释

===A===
A/D analog to digital
AA atomic absorption
AAS atomic absorption spectroscopy
ABC activity-based costing
ABM activity-based management
AC alternating current; activated carbon
ACF anisotropic conductive film
ACI after-clean inspection
ACP anisotropic conductive paste
ACT alternative control techniques; actual cycle time
ADC analog-to-digital converter
ADE advanced development environment
ADI after-develop inspection
ADT applied diagnostic technique
ADTSEM Apply/Develop Track Specific Equipment Model
AE atomic emission; acousticemission; absolute ellipsometry
AEC advanced equipment controller
AECS Advanced Equipment ControlSystem; Automated Equipment Control System
AEI after-etch inspection; automated equipment interface
AEM analytical electron microscopy
AES Auger emission/electron spectroscopy
AFM atomic force microscopy
AFP abrasive-free polish
A-GEMTF Advanced GEM Task Force
AGV automated guided vehicle
AHF anhydrous hydrogen fluoride
AHU air handling unit
AIR automated image retrieval
Al aluminum
ALD atomic layer deposition
ALE atomic layer epitaxy; application logic element
ALS advanced light source; advanced low-power Schottky
AMC airborne molecular contamination
AMHS automated material handling system
AMT advanced manufacturing technology
AMU atomic mass unit
ANN artificial neural network
ANOVA analysis of variance
AOV air-operated valve
AP adhesion promoter
APA advanced performance algorithm
APC advanced process control
APCD add-on pollution control device
APCFI Advanced Process Control Framework Initiative
APCVD atmospheric pressure chemical vapor deposition
APEC advanced process equipment control
API application programming interface; atmospheric pressure ionization
APM atmospheric passivation module; acoustic plate mode
APRDL Advanced Products Research and Development Laboratory
aPSM attenuating phase-shift mask
AQI ACCESS query interface
AQL acceptable quality level
Ar argon
AR aspect ratio
ARAMS Automated Reliability
ARC antireflective coating
ARDE aspect ratio-dependent etching
ARPA Advanced Research Projects Agency (see DARPA)
ARS angle-resolved scattering
As arsenic
AS/RS automated storage and retrieval system
ASAP Advanced Stepper Application Program
ASIC application-specific integrated circuit
ASO automatic shutoff
ASP advanced strip and passivation; advanced strip processor
ASR automated send receive
ATDF Advanced ToolDevelopment Facility
ATE automatic test equipment
ATG automatic test generation
ATLAS abbreviated test language for all systems
atm atmosphere
ATP advanced technology program; adenosine triphosphate; acceptance and tool performance
ATR attenuated total reflectance
Att attenuated
AVP advanced vertical processor
AVS advanced visualization system
AWE asymptotic waveform evaluation
AWISPM above wafer in situ particle monitoring
AWS advanced wet station
M

anufacturing and Science
Sb antimony
===B===
B billion; boron
Ba barium
BARC bottom antireflective coating
BASE Boston Area Semiconductor Education (Council)
BAW bulk acoustic wave
BC bias contrast
BDEV behavior-level deviation
BDS Brownian Dynamics Simulation
Be beryllium
BEOL back end of line
BESOI bonded and etchback silicon on insulator
BF brightfield
BFGS Broyden-Fletcher- Goldfarb-Shanno optimization algorithm
BFL buffered field-effect transistor logic
BGA ball grid array
BHT Brinell hardness test
Bi bismuth
BiCMOS bipolar complementary metal-oxide semiconductor
BIFET bipolar field-effect transistor
BIM binary intensity mask
BiMOS bipolar metal-oxide semiconductor
BIST built-in self-test
BIT bulk ion temperature
BITE built-in test equipment
BMC bubble memory controller
BMD bulk micro defect
BOE buffered oxide etchant
BOR bottom of range
BOSS Book of SEMI Standards; binary object storage system
BOX buried oxide
BPR beam profile reflectometry; business process reengineering
BPSG boron phosphosilicate glass
BPTEOS BPSG from a TEOS source
Br bromine
BSE backscattered electron detection
BTAB bumped tape automated bonding
BV breakdown voltage
RBB base sheet resistance
===C===
C carbon
Ca calcium
CA CIM architecture
CAA CIM applications architecture
CAB Competitive Analysis Benchmarking
CAD computer-aided design
CADT control application development tool
CAE computer-aided engineering
CAI computer-assisted instruction
CAM computer-aided manufacturing
CAPS computer-assisted problem solving
CAR chemically amplified resist
CARRI Computerized Assessment of Relative Risk Impacts
CASE computer-aided softwareengineering; computer-aided systems engineering
CAT computer-aided testing
CAW Construction Analysis Workgroup
CAWC cryogenic aerosol wafer cleaning
CBGA ceramic ball grid array
CBS chemical bottle storage area
CBT computer-based training
CC chip carrier; cluster controller
CCC ceramic chip carrier
CCD charge-coupled device
CCSL compatible current-sinking logic
CCW counterclockwise
Cd cadmium
CD critical dimension
CD/OL critical dimension overlay
CDA clean dry air
CDE chemical downstream etch
CDEM Customer Delivery Enterprise Model
CDI collector-diffusion isolation
CDM Common Device Model for SAB
CDO controlled decomposition/oxidation
CDR chemical distribution room
CDS chemical distribution system
Ce cerium
CE capillary electrophoresis
CEC cell evaluation chip
CEE control execution environment
CEM continuous emissions monitoring
CER-DIP ceramic dual in-line package
CFA component failure analysis
CFC chlorofluorocarbon
CFD computational fluid dynamics
CFM contamination-free manufacturing
CIC cleanroom interface chamber
CID charge-injection device
CIE computer-integrated engineering
CIM computer-integrated manufacturing
CIM-OSA computer-integrated manufacturing-open systems

architecture (ESPRIT program)
CIP Continuous Improvement Program
CIS Center for Integrated Systems
CISC complex instruction set computer
Cl chlorine
CLCC ceramic leaded chip carrier
CLIC closed-loop intensity control
CM configuration management; cassette module
CMC cassette module controller
CML current mode logic
CMM capability maturity model
CMOS complementary metal-oxide semiconductor
CMP chemical mechanical planarization
CMR common-mode rejection ratio; cancel move request
CNC computer numerical control; condensation nucleus counter
CNT carbon nanotube
Co cobalt
COB chip-on-board
COC cost of consumables
CODEC coder-decoder
COED computer-optimized experimental design
COGS cost of goods sold
CoO cost of ownership
CORBA common object request broker architecture
CORE composite object reference
COSS common object services specification
COT customer-owned tooling
CoV coefficient of variance
CPD concurrent product development
CPE Communications
CPGA ceramic pin grid array
CQFP ceramic quad flat pack
CQN closed-queuing network
Cr chromium
CRC cyclic redundancy check
CRM Cost/Resource Model
Cs cesium
CSA CIM systems architecture
CSE control systems engineering
CSF critical success factor
CSL current-steering logic
CSMA/CD carrier-sense
CSP chip-scale package
CSPED concurrent semiconductor production and equipment development
CST CIM systems technology
CSTR continuously stirred tank reactor
CSV comma-separated variable
CTC cluster tool controller
CTE coefficient of thermal expansion
CTI cycle time improvement
CTMC cluster tool modular communications
Cu copper
CUB central utility building
CUBES capacity utilization bottleneck efficiency system
CUI common user interface
CUSUM cumulative sum
CV capacitance-to-voltage
CVCM collected volatile condensable materials
CVD chemical vapor deposition
CW continuous wave
Cz Czochralski process
EQUIP C/I equipment control and integration
====D====
D/A digital to analog
D/B die bonding
DAC digital-to-analog converter
DAS direct absorption spectroscopy
DASSL differential algebraic system solver
DBMS database management system
DC direct current
DCA direct chip attachment
DCATS double-contained acid transfer system
DCE distributed computer environment
DCL digital command language; display communication log
DCS dichlorosilane
DDL device description language
DDMS defect data management system
DEDS discrete-event dynamic simulation
DES data encryption standard; display equipment status
DF darkfield
DFC densified fluid clean
DFE dual-frequency etch
DFM design for manufacturing
DFR design for reliability
DFT design for test
DFY design for yield
DHF dilute hydrofluoric acid
DI deionized; dielectric isolation
DIBL drain-induced barrier leakage
DIC differential interference contrast
DIL dual in-line
DIP dual in-line package
DLBI device-level burn-in


DLOC developed source lines of code
DLS display lot status
DLT device-level test
DLTS deep-level transient spectroscopy
DMA direct memory access; dynamic mechanical analysis
DMH display message helps
DML data manipulation language; display message log
DMM digital multimeter
DMOS diffused metal-oxide semiconductor
DMR display move requests
DO dynamic optimization
DOA dead-on alignment
DOAS differential optical absorption spectroscopy
DOE design of experiments
DOF depth of focus
DOP dioctylphthalate
DPA destructive physical analysis
DPM digital panel meter
DPP discharge-produced plasma
DPSRAM dual-port static random access memory
DRAM dynamic random access memory
DRAPAC Design Rule and Process Architecture Council
DRC design rule check
DRE destruction removal efficiency
DRIFTS diffuse reflectance infrared Fourier transform spectroscopy
DRT defect review tool
DSA display system activity; dimensionally stable anode
DSC differential scanning calorimetry
DSMC direct simulation Monte Carlo
DSQ downstream quartz
DSS display stocker status
DSW direct step-on-wafer
DT dynamic test
DTA differential thermal analysis
DTC direct thermocouple control
DTL diode transistor logic
DTM defect test monitor; delay time multiplier; device test module; digital terrain map
DTMPN defect test monitor phase number
DUT device under test
DUV deep ultraviolet
DV design verification
DVER design rule verification
DVM digital voltmeter
DVS display vehicle status
DWG domain work group
IDDQ direct drain quiescent current
Master Deliverables List
====E====
EAPSM embedded attenuated phase-shift mask
EAROM electrically alterable read-only memory
EASE equipment and software emulator
e-beam electron beam
EBHT electron-beam high-throughput lithography
EBIC electron beam-induced current
EBR edge bead removal
EC engineering change; equipment controller
ECA engineering capability assessment
ECAD electronic computer-aided design; engineering computer-aided design
ECAE electronic computer-aided engineering
ECL emitter coupled logic
ECN engineering change notice
ECO engineering change order
ECQB electrochemical quartz crystal balance
ECR electron cyclotron resonance
EDA electronic design automation
EDS energy-dispersive spectroscopy
EDU equipment-dependent uptime
EDX energy-dispersive X-ray
EDXA energy-dispersive X-ray analysis
EEDF electron energy distribution function
EELS electron energy-loss spectroscopy
EEPROM electrically erasable programmable read-only memory
EFEM equipment front-end module
EFOCS evanescent fiber-optic chemical sensor
EFTIR emission Fourier transform infrared spectroscopy
EFV excess flow valve
EGE ethylene glycol ethers
EHS extremely hazardous substance
EI equipment integration
EID Equipment InterfaceDevelopment
EIP Equipment Improvement Program; Equipment Improvement Project
EIS electrochemical impedance spe

ctroscopy
EKF extended Kalman filter
ELF extremely low frequency
EM enterprise model; electromagnetic; electromigration
EMA equipment maturity assessment
EMC electromagnetic capability; electromagnetic compatability
EMF electromagnetic field
EMG electromigration
EMI electromagnetic interference
EMMA electron microscopy and microanalysis
EMP electromagnetic pulse
EMR enter move request
EMU electromagnetic unit
EOS electrical overstress
EOT end of transfer; equivalent oxide thickness
EP extreme pressure; electropolish
EPL electron projection lithography
EPR electron paramagnetic resonance
EPROM electrically programmable read-only memory
EPSS electronic performance support system
EPT equipment performance tracking
ERAM equipment reliability
ERM enterprise reference model
ERN external recurrent neural network
ERP extended range pyrometer
ERS event reporting standard
ERT emergency response time
ES engineering specification; expert system
ESC electrostatic chuck
ESCA electron spectroscopy for chemical analysis
ESD electrostatic discharge
ESH environment
ESM electronic service manual
ETAB Executive Technical Advisory Board
ETQR External Total Quality and Reliability
EUV extreme ultraviolet
eV electron volt
EWMA exponentially weighted moving average
XLS excimer laser system; extended light scatterer
====F====
F fluorine
F/I final inspection
FA failure analysis
FAB fast atom bombardment
FAMOS floating-gate avalanche-injection metal-oxide semiconductor
FBGA fine-pitch ball grid array
FC flip chip
FCM facilities cost model
FCS factory control system
FDC fault detection and classification
FDE frequency domain experiments
FDSOI fully depleted silicon on insulator
FEC fabrication evaluation chip
FEM finite element model
FEOL front end of line
FESEM field emission scanning electron microscopy
FET field-effect transistor
FFT fast Fourier transform
FFU filter fan unit
FI filterability index; factory integration
FIB focused ion beam
FID flame ionization detector
FIFO first-in
FIMS front-opening interface mechanical standard
FL fuzzy logic
FLOPC floating point operations needed per cycle
FLOTOX floating gate tunnel oxide
FLRT factory layout/relayout tool
FM foreign material
FMEA failure mode and effects analysis
FMMC factory material movement component
FMVP Framework Member Validation Project
FNN feed-forward neural network
FOCS fiber-optic chemical sensor
FOSB front opening shipping box
FOUP front opening unified pod
FOV field of view
FOX field oxide
FP flash point
FPD focal plane deviation; flat panel display
FPGA field-programmable gate array
FPLA field-programmable logic array
FPLF field-programmable logic family
FPLS field-programmable logic switch
FPMS Factory Performance Modeling Software
FPROM field-programmable read-only memory
FRACAS Failure Reporting
FRAME Failure Rate Analysis and Mo

deling
FRMB fast ramp mini batch
FSG fused silica glass
FSM finite state machine
FT final test; Fourier transform
FTA fault tree analysis
FTAB Focus Technical Advisory Board
FTIR Fourier transform infrared
FW full wave
FWHM full-width half-maximum
FZ float zone
Roadmap for Semiconductors
====G====
Au gold
Ga gallium
GAC granular activated carbon
GC gas chromatography; gravimetric calibrator
GCC generic cell controller
GCD gas chromatography distillation
GCMS gas chromatography mass spectroscopy
GDPP gas drive plasma pinch
GDS graphical design system; graphical design software
Ge germanium
GEM Generic EquipmentModel
GEMVS GEM verification system
GES generic equipment simulator
GFC gas filter correlation
GFCI ground fault circuit interrupter
GIDL gate-induced drain leakage
GILD gas immersion laser doping
GLC gas liquid chromatography
GOI gate oxide integrity
GPIB general-purpose interface bus
GSCE gas source control equipment
GTS GEM Test System
====H====
H hydrogen
HAP hazardous air pollutant
HARI high aspect ratio inspection
HAST highly accelerated stress testing
HAZCOM Hazard Communication Standard
HB horizontal Bridgeman crystal
HCI hot carrier injection
HCM hollow cathode magnetron
HCMOS high-density CMOS
HCS hot-carrier suppressed
HD high density
HDL hardware description language
HDP high-density plasma
HDPE high-density polyethylene
He helium
HEM high-efficiency matching
HEPA high-efficiency particulate air
Hf hafnium
HF hydrofluoric acid
HIBS heavy ion backscattering spectrometry
HiPOx high-pressure oxygen
HLF horizontal laminar flow
HMDS hexamethyldisilizane
HMIS hazardous materials inventory statement
HMMP hazardous materials management plan
HMOS high-performance MOS; high-density MOS
HOMER hazardous organic mass emission rate
HOPG highly oriented pyrolitic graphite
HP high purity
HPEM Hybrid Plasma Equipment Model
HPI high pressure isolation
HPL high-performance logic
HPLC high-performance liquid chromatography
HPM hazardous production materials; high-purity metal
HPV high-pressure vent
HRA human reliability analysis
HRR high ramp rate
HRTEM high-resolution transmission electron microscopy
HSQ hydrogen silsesquioxane
HTO high-temperature oxidation
HTRB high-temperature reverse bias
HUPW hot ultrapure water
HVAC heating
====I====
Fe iron
I iodine
I/O input/output
I2L integrated injector logic
I300I International 300 mm Initiative
IC integrated circuit; Investment Council; ion chromatography
ICAP inductively coupled argon-plasma spectrometry
ICMS integrated circuit measurement system
ICP inductively coupled plasma
ICP-AES inductively coupled plasma atomic emission spectroscopy
ICP-MS inductively coupled plasma mass spectrometry
ICT ideal cycle time
IDEAL initiating
IDL interface definition language
IDLH immediately dangerous to life or health
IDS interacti

ve diagnostic system
IEA ion energy analysis
IEC infused emitter coupling
IEDF ion energy distribution function
IERN internal-external recurrent neural network
IF interface
IGFET insulated-gate field-effect transistor
ILB inner lead bond
ILD interlevel dielectric; interlayer dielectric
ILS intracavity laser spectroscopy
IM integrated model; integrated metrology
IMD intermetal dielectric
IMMA ion microphobe mass analysis
IMS ion mobility spectroscopy
In indium
INCAMS individual cassette manufacturing system
IPA isopropyl alcohol
IPL ion projection lithography
IPT ideal process time
Ir iridium
IR infrared
IRAS infrared reflection-absorption spectroscopy
IRIS imaging of radicals interacting with surfaces
IRN internal recurrent neural network
IRONMAN Improving Reliability of New Machines at Night
IRTC-1 interconnect reliability test chip-1
IS information systems; interface specifications; integrated systems
ISC Industry Steering Council
ISEM inspection/review specific equipment model
ISM inductor super magnetron
ISMT International SEMATECH
ISPM in situ particle monitor
ISR in situ rinse
ISS ion scattering spectroscopy
ITRI Interconnection Technology
ITRS International Technology
IVH interstitial via hole
IVP integrated vacuum processing
LC inductance-capacitance; liquid chromatography
Research Institute
SFCS I/F shop floor control system interface
X inductive reactance
====J====
JDP Joint Development Program
JEDEC Joint Electron Device Engineering Council
JESSI Joint European Submicron Silicon Initiative
JIC Joint Industrial Council
JIT just-in-time
JJT Josephson junction transistor
JVD jet vapor deposition
====K====
keV kilo electron volt
KPA key process area
Kr krypton
kV kilovolt
====L====
La lanthanum
LAMMA laser micro-mass analysis
LAMMS laser micro-mass spectroscopy
LCA lifecycle analysis
LCC leaded chip carrier
LCL lower confidence limit
LDD lightly doped drain
LDL lower detection limit
LDP low-density plasma
LDPE low-density polyethylene
LEC liquid encapsulated Czochralski crystal
LEL lower explosive limit
LER line edge roughness
LF laminar flow
LFL lower flammable limit
LGQ linear Gaussian quadratic
Li lithium
LI laser interferometry
LIC linear integrated circuit
LID leadless inverted device
LIFO last in
LIMA laser-induced mass analysis
LIMS laser-induced mass spectrometry
LLCC leadless chip carrier
LLD lower limit of detection
LLNQ least lots next queue
LM light microscope
LMMA laser microprobe mass analysis
LOCOS local oxidation of silicon
LOS loss of selectivity
LPC linear predictive coding; laser particle counter; low particle concentration; liquid-borne particle counter
LPCVD low-pressure chemical vapor deposition
LPD light point defect
LPE liquid phase epitaxy
LPI low-pressure isolation
LPP laser-produced plasma
LRS laser Raman spectroscopy
LSE latex spher

e equivalent
LSHI large-scale hybrid integration
LSI large-scale integration
LSM laser scanning microscope
LTA laser thermal anneal
LTCVD low-temperature chemical vapor deposition
LTO low-temperature oxidation/oxide
LTPD lot tolerance percent defective
LTV local thickness variation
LV latent variable
LVDT linear voltage differential transducer
LVI low-voltage inverter
LVS layout verification of schematic
LWR linewidth reduction
LWS large wafer study
Pb lead
====M====
Hg mercury
M million; mega
MACT maximum achievable control technology
MALDI matrix-assisted laser desorption and ionization
MAN metropolitan area network
MAP manufacturing automation protocol
MAWP maximum allowable working pressure
MB machine batch
MBC machine bath collection
MBE molecular beam epitaxy
MBPC model-based process control
MBTC model-based temperature control
MCBA mean cycles between assists
MCBF mean cycles between failures
MCBI mean cycles between interrupts
MCM multichip module; manufacturing cycle management
MCP master control processor;multichip package
MCS material control system
MCU microprocessor control unit; mobile calibration unit
MCVD metal chemical vapor deposition
MDL minimum detection limit;
MD-MOS multi-drain metal-oxide semiconductor
MDQ market-driven quality
MEBS medium energy backscattering spectrometry
MEEF mask error enhancement factor
MEMS microelectromechanical system
MERIE magnetically enhanced reactive ion etching
MES manufacturing execution systems
MESFET metal-semiconductor field-effect transistor
METS Materials and Equipment Trading Service
MeV mega electron volt
MFC mass flow controller
MFM mass flow meter
Mg magnesium
MG manufactured goods
MHI material hazard index
MHz megahertz
MIC monolithic integrated circuit
MID material ID
MIE magnetron ion etching
MIM metal-insulator-metal
MIS metal insulator silicon
MLCC multilayer ceramic capacitor
MLL modify lot location
MLM multilevel metal
MLR message log report
MMC Manufacturing Methods Council
MMD Microlithographic Mask Development program
MMIC monolithic microwave integrated circuit
MMM material movement management
MMMS Material Movement
MMO multimodel optimization
MMOS modified MOS
MMST Microelectronics Manufacturing Science and Technology
Mn manganese
MNOS metal-nitride-oxide semiconductor
MNS metal-nitride semiconductor
Mo molybdenum
MO metal-organic
MOCVD metal-organic chemical vapor deposition
MOP modify operating procedures
MOS metal-oxide semiconductor
MOS-C metal-oxide semiconductor capacitor
MOSFET metal-oxide semiconductor field-effect transistor mp melting point
MP massively parallel
MP-OES multipoint optical emission spectroscopy
MPRES modular plasma reactor simulator
MPU microprocessor unit
MRP materials requirements planning
MRP-II manufacturing resource planning
MS mass spectrometry; mass spectroscopy
MSDS Ma

terial Safety Data Sheet
MSEM Metrology Specific Equipment Model
MSG Management Steering Group
MSHA Mine Safety and Health Administration
MSI medium-scale integration; manufacturing support item
MSID mass spectrometer lead detector
MSLD mass spectrometer leak detector
MSTAB Manufacturing Systems Technical Advisory Board
MTBA mean time between assists
MTBF mean time between failures
MTBFp mean (productive) time between failures
MTBI mean time between interrupt; mean time between incident
MTOL mean time off line; mean time on line
MTS Material Tracking Standard
MTTA mean time to assist
MTTF mean time to failure
MTTR mean time to repair
MV megavolt
MVTR moisture vapor transmission rate
MW molecular weight
MWBC mean wafers between cleans
MWT monitor wafer turner
====N====
N nitrogen
NA numerical aperture
NCMS National Center for
NCS Network Communication Standard
NDA nondisclosure agreement
NDE nondestructive evaluation
NDIR nondispersive infrared spectroscopy
NDP neutron depth profiling
NDT nondestructive testing
NDUV nondispersive ultraviolet spectroscopy
NEC National Electric Code
NESHAP National Emissions Standards for Hazardous Air Pollutants
NFOM near-field optical microscopy
NGL next-generation lithography
Ni nickel
NIL nanoimprint lithography
NIRA near-infrared reflection analysis
NMOS negative channel metal-oxidesemiconductor
NMR nuclear magnetic resonance
NN neural network
NRE nonrecurring engineering
NTRS National Technology Roadmap for Semiconductors
NTU nephelometric turbidity unit
NVR non-volatile residue
====O====
O oxygen
OBA object behavior analysis
OBEM Object-Based Equipment Model
OBIC optical beam-induced current
OBL object-based language
OC open cassette
OCR optical character recognition
OD outside diameter
ODS ozone-depleting substances
OEE overall equipment effectiveness
OEM original equipment manufacturer
OES optical emission spectroscopy
OHT overhead transport; overhead hoist transport
OHV overhead vehicle
OL overlay
OLB outer lead bond
OLE object linking and embedding
OM operational modeling; optical microscopy
OMA object management architecture
OMS optical mass spectroscopy
OMT object modeling technique
OO object-oriented
OOA object-oriented analysis
OOD object-oriented design
OODB object-oriented database
OODBMS object-oriented database management system
OOP object-oriented programming
OPC optical particle counter; optical proximity correction
OS operating system
OSD organic spin-on dielectric
OSF Open Systems Foundation
OSG organosilicate glass
OSI open system interconnection
OSRM Office of Standard Reference Materials
OSS Object Services Standard
Ox oxide
====P====
Cp process capability
Cpk process capability index
K potassium; thousand
P phosphorous
P/T precision-tolerance
PAB post-apply bake
PAC photoactive compound
PACVD plasma-assisted che

mical vapor deposition
PA-FTIR photoacousticFourier transform infrared spectroscopy
PAG photoacid generator
PAL process automation language; programmable array logic; process asset library
PAM process application module
PAS photoacoustic spectroscopy
PAWS portable acoustic wave sensor
PBET Performance-Based Equipment Training
PBGA plastic ball grid array
PBL poly-buffered LOCOS
PBS photon backscattering
PC personal computer; programmable controller; process control
PCAD packaging computer-aided design
PCB printed circuit board
PCMP post-chemical mechanical polishing
PCMS plasma chemistry Monte-Carlo simulation
PCO photocatalytic oxidation
PCR principle component regression
PCT process change team
Pd palladium
PDC passive data collection
PDF portable document format
PDSOI partially depleted silicon on insulator
PDU protocol data unit
PDVC phase-dependent voltage contrast
PEB post-exposure bake
PECVD plasma-enhanced chemical vapor deposition
PED post-exposure delay
PEDS plasma-enhanced deposition system
PEELS parallel electron energy loss spectrometry
PEL permissible exposure level
PES photoelectron spectroscopy
PET post-etch treatment
PETEOS plasma-enhancedtetraethylorthosilicate
PFA perfluoroalkoxy
PFC perfluorocarbon
PFPE perfluorinated polyether
PGA pin grid array
P-GILD projection gas immersion laser doping
PGV person-guided vehicle
PI proportional integral
PID proportional integral derivative; process-induced defect
PIII plasma immersion ion implantation
PIND particle impact noise detection
PIP process-induced particle
PIV peak inverse voltage; post indicator valve
PLA programmable logic array
PLC programmable logic controller
PLCC plastic leaded chip carrier
PLL plasma lockload
PLS partial least squares; projection of latent structures
PLY photolimited yield
PM process monitor; preventive maintenance; process module
PMC process module controller
PMCC Pensky-Martens closed cup
PMI phase measuring interferometer
PMMA polymethyl methacrylate
PMOS positive channel metal-oxide semiconductor
PMS particle measuring system
PMT photomultiplier tube
PMTF Product Management Task Force
POR process-of-record
POU point-of-use
POUCG point-of-use chemical generation
PPE personal protective equipment
PPGA plastic pin grid array
PPID process program identification
PQFP plastic quad flat pack
PRAS particle reactor analysis services
PRB pseudo-random binary
PRBS pseudo-random binary sequence
PROM programmable read-only memory
PRSC parametric response surface control
PRV person rail guided vehicle
PS porous silicon
PSB phase-shifting blank
PSC porous silicon capacitor
PSD power spectral density; port status display
PSG phosphosilicate glass
PSII plasma source ion implantation
PSL polystyrene latex
PSLS polystyrene latex sphere
PSM phase-shift mask
Pt platinum
PTAB Project TechnicalAdvisory Board

PTC pre- and post-process treatment chambers
PTFE polytetrafluorethylene
PVA polyvinylacetate
PVC polyvinylchloride
PVD physical vapor deposition
PVDF polyvinylidene fluoride
PWB printed wiring board
PWP particles per wafer pass
====Q====
QA quality assurance
QC quality control
QCM quartz crystal microbalances
QDR quick dump rinse
QFD quality function deployment
QFP quad flat pack
QMS quadrupole mass spectrometry
QSR quality system review
QTAT quick turn around time
====R====
EQUIP RTC equipment real-time control
R2R run-to-run
Ra radium
RAC remote access and control
RAIRS reflection-absorption infrared spectroscopy
RAM random access memory;reliability
RAMP Reliability Analysis and Modeling Program
Rb rubidium
RBS refractive backscattering; Rutherford backscattering spectroscopy
RCWA rigorous coupled wave analysis
RDR rotating disk reactor
Re rhenium
REL recommended exposure limit
RESSFOX recessed sealed sidewall field oxidation
RF radio frequency; resonance frequency
RFI request for information; radio frequency interference
RFM radio frequency monitoring
RFO restricted flow orifice
RFP request for plan; request for proposal; radio frequency probe
RGA residual gas analysis
RGV rail-guided vehicle
RH relative humidity
RI reliability improvement
RIE reactive ion etch
RISC reduced instruction set computer/computing
RIST rule induction and statistical testing
RMOS refractory metal-oxide semiconductor
RMS root mean square; Recipe Management Standard
RMTF Recipe Management Task Force
RNN recurrent neural network
RO reverse osmosis
ROC remote object communications
ROE return on equity
ROI return on investment
ROM read-only memory
RPAO remote plasma-assisted oxidation
RR removal rate
RRMSEP relative root mean square error of prediction
RSE reactive sputter etch
RSF relative sensitivity factor
RSM response surface methodology; response surface matrix
RT room temperature
RTA rapid thermal anneal
RTB real-time backplane
RTCVD rapid thermal chemical vapor deposition
RTD resistance temperature detector
RTL resistor-transistor logic; register transfer level
RTM rapid thermal multiprocessing
RTO rapid thermal oxidation; regenerative thermal oxidizer
RTP rapid thermal processing/processor
RTR real-time reporting
Ru ruthenium
====S====
Ag silver
Management Standard
Na sodium
S sulfur
S/D source/drain
S/N signal-to-noise
SA surface area; subresolution assist; structured analysis
SAM scanning auger microscopy
SAT spray acid tool
SAW surface acoustic wave
SB strong base ion exchange
SC1 Standard Clean 1
SC2 Standard Clean 2
SCA surface charge analysis
SCALE SEMATECH Cell Application Learning Environment
SCALPEL scattering with aperture limited projection lithography
SCC strategic cell controller
SCCS source code control system
SCE short channel effects
SCF super critical fluid
SCI surfac

e charge imaging
SCM scanning capacitance microscopy
SCOE SEMATECH Center of Excellence
SCP single-chip package
SCR silicon-controlled rectifier
SD small dual in-line package; structured design
SDFL Schottky-diode FET logic
Se selenium
SE spectroscopic ellipsometry; secondary electron
SEAJ Semiconductor Equipment Association of Japan
SEC size exclusion chromatography
SECS Semiconductor Equipment Communications Standard
SEG selective epitaxial growth
SEIM software engineering improvement method
SEM scanning electron microscopy; specific equipment model
SEMI Semiconductor Equipment and Materials International
SFC supercritical fluid chromatography
SFCS shop floor control system
SGMRS Semiconductor Generic Manufacturing Requirements Specification
Si silicon
SIA Semiconductor Industry Association
SIDP sputter ion depth profiling
SIMO single input
SIMOX separation by implantation of oxygen
SIMS secondary ion mass spectroscopy
SiP system-in-a-package
SISO single input
SL specification limit
SLAM scanning laser acoustic microscopy; single-layer aluminum metallization
SLC surface laminar circuit
SM stress migration
SMB single-mask bumping
SMC surface-mounted component
SME subject matter expert; software maintenance engineer
SMIF standard mechanical interface
SMPM SECS message protocol machine
SMS SECS message service
SMTS Strategic Material Transport System
SNMS sputtered neutral mass spectroscopy
SNOM scanning near-field optical microscopy
SNR signal-to-noise ratio
SO small outline (package)
SoC system-on-a-chip
SOD spin-on dielectric
SODAS SEMATECH Organized Damage Analysis Software
SOG spin-on glass
SOI silicon on insulator
SOIC small outline integrated circuit
SOM scanning optical microscopy; sulfuric acid-ozone mixture
SoP system-on-a-package
SOP standard operating procedure
SOS silicon on sapphire
SPC statistical process control
SPICE simulation program with integrated circuit emphasis
SPIDER SEMATECH Process Induced Damage Effect Revealer
SPIDER-MEM SPIDER-Manufacturing Equipment Monitor
SPIN Software Process Improvement Network
SPM scanning probe microscopy
SPP single-phase printing
SPR semiconductor process representation
SPV surface photo voltage
SQC statistical quality control
SQPMM Software Quality and Process Maturity Model
Sr strontium
SRAM static random access memory
SRC Semiconductor Research Corp.
SRP spreading resistance probe
SRS software requirements specification
SSA Semiconductor Safety Association; spatial signature analysis
SSE sum squared error
SSEM Stepper Specific Equipment Model
SSI small-scale integration
SSM strategic sourcing methodology
sSOI strained silicon on insulator
SSQA Standardized Supplier Quality Assessment
SSRL SEMATECH Software Reuse Library
SSRP SEMATECH Software Reuse Program
STAR simultaneous transmitted and reflected
STEL short-term exposure limit
STEM

scanning transmission electron microscopy
STI shallow trench isolation
STM scanning tunneling microscopy
STP standard temperature and pressure; system test plan
SU subresolution attenuated
SWEAT standard wafer-level electromigration accelerated test
SWI static walkthrough/inspection
SWIM Semiconductor Workbench for Integrated Modeling
SWP single-wafer processing
SWR semiconductor wafer representation
SWV square wave voltammetry
====T====
Sn tin
T/C thermocompression
Ta tantalum
TAB Technical Advisory Board; tape automated bonding
TAP Tool Application Program
TAS trace analysis system
TASC Technical Analysis Service for CoO
TAT turnaround time
TBAH tetrabutylammonium hydroxide
TC time constant; temperature coefficient; thermocouple
TCA test calibration assembly; 1
TCAD technology computer-aided design
TCC tactical cell controller
TCE temperature coefficient of expansion
TCM tunneling current microscopy
TCP transformer-coupled plasma; tape carrier package
TCP/IP transmission control protocol/Internet protocol
TCR temperature coefficient of resistance
TD thermal desorption
TDDB time-dependent dielectric breakdown
TDEAT tetrakis (diethylamino) titanium
TDLAS tunable diode laser absorption spectroscopy
TDMAT tetrakis (dimethylamido) titanium
TDMS thermal desorption mass spectrometry
TDS thermal desorption spectroscopy
Te tellurium
TE transverse electric; transmitted electron
TEA transverse excited atmosphere
TEC thermal expansion coefficient; test and electrical characterization
TECAP transistor electrical characterization and analysis program
TED transient enhanced diffusion; transmitted electron detection
TEG technical exchange group
TEM transmission electron microscopy; transverse electromagnetic
TEOS tetraethylorthosilicate; tetrethoxysilicide
TFC total fault coverage
TFE tetrafluorethylene
TFT thin-film transistor
TG thermogravimetry
TGA thermal gas analysis; thermal gravimetric analysis
THC total hydrocarbons
Ti titanium
TIBA triisobutlaluminum
TIR total indicator runout; total internal reflection
TIS tool-induced shift
Tl thallium
TLC thin layer chromatography
TLE tool loading elevator
TLI thin layer imaging
TLM tape-laying machine; telemeter; transition line model
TLV threshold limit value
TLV/TWA threshold limit value/time-weighted average
TM transport module
TMA thermal mechanical analyzer
TMB trimethylborate
TMC transport module controller; transfer module controller
TMP trimethylphosphate; turbomolecular pump
TO transistor outline package
TOA take-off angle
TOC total organic carbon; total oxidizable carbon
TOF time-of-flight
TPD temperature program desorption
TPG test pattern generation
TPM total productive maintenance; total productive manufacturing
TPRS temperature programmed reaction spectroscopy
TPU thermal processing unit
TQM total quality management
TSCA Toxic Substances Control Ac

t
TSI top surface imaging
TSOP thin small outline package
TSP temperature-sensitive parameter
TT technology transfer
TTL transistor-transistor logic
TTV total thickness variation
TVS triangular voltage sweep
TWA time-weighted average
TWG Technical Working Group
TXRF total X-ray fluorescence
W tungsten
====U====
U uranium
UBM under-bump metallurgy
UCL upper confidence limit; upper control limit
UF ultra-filtration
UHF ultrahigh frequency
UHP ultrahigh purity
UHV ultrahigh vacuum
UID user identification
ULA uncommitted logic array
ULK ultralow-k
ULPA ultralow particulate air
ULSI ultralarge-scale integration uph units per hour
UPW ultrapure water
USART universal synchronous/ asynchronous receiver/transmitter
USOP ultrasmall outline package
Utt unattenuated
UV ultraviolet
====V====
V vanadium; volt
VAC volts alternating current
VAR value-added reseller; volt-ampere reactive
VASE variable angle spectroscopic ellipsometry
VDC volts direct current
VDP Van der Pauw
VDS vapor distribution system
VHF very high frequency
VLE vapor levitation epitaxy
VLF vertical laminar flow
VLSI very large-scale integration
VME versa micromodule extension; virtual manufacturing enterprise
V-MOS v-groove metal-oxide semiconductor
VOC volatile organic compound
VPD vapor phase desorption; vapor phase decomposition
VPD-ICPMS vapor phase decomposition-inductively coupled plasma mass spectroscopy
VTP vertical thermal processor
VTVM vacuum tube voltmeter
VUV vacuum ultraviolet
====W====
W/B wire bonding
WAN wide area network
WB weak base
WBS work breakdown structure
WBSEM Wire Bonder Specific Equipment Model
WDS wavelength-dispersive spectrometry of X-rays
WDX wavelength-dispersive X-ray
WDXA wavelength-dispersive X-ray analysis
WEC wafer environment control
WFT wafer fabrication template
WIB within-batch
WIP work in process; work in progress
WIW within-wafer
WIWNU within-wafer non-uniformity
WLBI wafer-level burn-in
WLP wafer-level package
WLT wafer-level test
WNP waste neutralization plant
WPC wafer process chamber
wph wafers per hour
WSI wafer-scale integration
WTC wafer transfer chamber
WTW wafer to wafer
WTWNU wafer-to-wafer non-uniformity
====X====
XANES X-ray adsorption near edge structure spectroscopy
Xe xenon
XPS X-ray photoelectron spectroscopy
XRD X-ray diffraction
XRF X-ray fluorescence spectrometry
====Y====
Y yttrium
YAG yttrium aluminum garnet
====Z====
Z zinc
Zr zirconium

相关主题