搜档网
当前位置:搜档网 › Multisim仿真说明书正文

Multisim仿真说明书正文

Multisim仿真说明书正文
Multisim仿真说明书正文

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验1:电路仿真工具multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列):

电源组信号源基本器件组 (1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

Multisim电路仿真应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分

析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。 5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

模拟电子技术课程设计(Multisim仿真).

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.sodocs.net/doc/a59544224.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.sodocs.net/doc/a59544224.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

Multisim仿真应用手册_92309562

电子电路仿真应用手册 2009年6月 前言 本手册基于Multisim V7仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 更高版本的Multisim仿真环境与之类似。此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim V7教学版使用说明书》或其它帮助文档。 目录 1 Multisim主界面简介 (2) 2仿真电路图的建立 (2) 3常用半导体器件特性曲线的测试方法 (3) 3.1 晶体三极管特性曲线的测试 (3) 3.1.1 IV分析仪测试方法 (3) 3.1.2 直流扫描分析方法 (3) 3.2 结型场效应管特性曲线的测试 (4) 3.2.1 IV分析仪测试方法 (4) 3.2.2 直流扫描分析方法 (4) 3.3 二极管、稳压管伏安特性曲线的测试 (5) 4放大电路静态工作点的测试方法 (5) 4.1 虚拟仪器测试方法 (5) 4.2 静态工作点分析方法 (5) 5放大电路动态参数的测试方法 (6) 5.1 电压放大倍数的测试 (6) 5.1.1瞬态分析测试方法 (6) 5.1.2虚拟仪器测试方法 (6) 5.2 输入电阻的测试 (6) 5.3 输出电阻的测试 (7) 5.4频率响应的测试 (7) 5.4.1交流分析方法 (7) 5.4.2 波特图仪测试方法 (7) 6电压传输特性的测试方法 (8) 7上升时间的测试方法 (9) 8逻辑函数的转换与化简 (10) 8.1 逻辑函数转换为真值表 (10) 8.2 真值表转换为逻辑函数 (10) 9逻辑分析仪的使用方法 (11)

multisim使用手册

Multisim使用手册 Multisim是一种EDA仿真工具,它为用户提供了丰富的元件库和功能齐全的各类虚拟仪器。 A1 Multisim 8 基本界面 启动Windows“开始”菜单“所有程序”中的Electronics Workbench/Multisim 8,打开Multisim 8的基本界面如图A1-1所示。 Multisim 8的基本界面主要由菜单栏、系统工具栏、快捷键栏、元件工具栏、仪表工具栏、连接https://www.sodocs.net/doc/a59544224.html,按钮、电路窗口、使用中的元件列表、仿真开关(Simulate)和状态栏等项组成。 图A1-1 Multisim 8的基本界面 A1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim 8菜单栏包含着11个主菜单,如图A1-2所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Reports(报告菜单)、Options(选项菜单)、Window(窗口菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单。

A1-2 菜单栏 1.File(文件)菜单 主要用于管理所创建的电路文件,如打开、保存和打印等,如图A1-3所示。 图A1-3 File菜单 New:提供一个空白窗口以建立一个新文件。 Open:打开一个已存在的*.ms8、*.ms7、*.msm、*.ewb或*.utsch等格式的文件。 Close:关闭当前工作区内的文件。 Save:将工作区内的文件以*.ms8的格式存盘。 Save As:将工作区内的文件换名存盘,仍为*.ms8格式。 Print..:打印当前工作区内的电路原理图。 Print Preview:打印预览。 Print Options:打印选项,其中包括Printer Setup(打印机设置)、Print Circuit Setup(打印电路设置)、Print Instruments(打印当前工作区内的仪表波形图)。 Recent Circuits:最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能。 2.Edit(编辑)菜单 主要用于在电路绘制过程中,对电路和元件进行各种技术性处理,如图A1-4所示。

基于Multisim的数字时钟的设计及仿真方案说明书

数字时钟具有“秒”、“分”、“时”的十进制数字显示,能够随时校正分钟和小时,当时钟到整点时能够进行整点报时,还能够进行定时设置。其涉及的电路由6部分组成。(1)能产生“秒脉冲”、“分脉冲”和“时脉冲”的脉冲产生和分频电路;(2)对“秒脉冲”、“分脉冲”和“时脉冲”计数的计数电路;(3)时间显示电路;(4)校时电路;(5)报时电路;(6)定时输入电路和时间比较电路。由脉冲发生器产生信号通过分频电路分别产生小时计数、分计数、秒计数。当秒计数满60后,分钟加1;当分满60后,时加1;当时计数器计满24时后,又开始下一个循环技术。同时,可以根据需要随时进行校时。把定时信号和显示信号通过比较电路确定能否产生定时报警信号。显示信号通过整点译码电路产生整点报警信号。 数字时钟设计与开发以及仿真分析: 系统具有“时”、“分”、“秒”的十进制数字显示,因此,应有计数电路分别对“秒脉冲”、“分脉冲”和“时脉冲”计数;同时应有时间显示电路,显示当前时间;还应有脉冲产生和分频电路,产生“秒脉冲”、“分脉冲”和“时脉冲”[5]。 系统具有校时功能,因此,应有校时电路,设定数字时钟的当前值。 系统具有整点报时功能,因此,应有译码电路将整点时间识别出来,同时应有报时电路。 系统具有定时功能,因此,应有定时输入电路和时间比较电路。 综上考虑,可如图2.1所示设计数字时钟的电路原理结构图。

图2.1 数字时钟的电路原理结构图 如图2.1所示,数字时钟电路有3个开关,它们的功能如下。 (1)S1:S1为瞬态开关,手动输入计数脉冲。 (2)S2:校时/定时/校时选择电路输入选择开关,当开关切换到上触点,为定时输入;当开关切换到中间触点,为校时输入;当开关切换到下触点,为校时选择电路输入。 (3)S3:为计时/校时选择开关,当开关切换到右边触点时,数字时钟为计时状态;当开关切换到左边触点时,数字时钟为校时状态。 左边两个计数器(小时计数、分计数)接收手动输入脉冲,为定时功能设定定时时间。 右边3个计数器(小时计数、分计数、秒计数)接收手动输入或计时脉冲,实现校时和计时功能。 比较电路是将设定的定时时间和当前的时间进行比较,当两者时间相同时,产生定时报警信号,驱动报警电路。 整点译码电路识别整点时间,以产生整点报时信号。 脉冲产生和分频电路产生数字时钟所需的秒脉冲、分脉冲和小时脉冲。 时间显示电路显示当前时间和定时时间。 4.2 数字时钟设计 4.2.1 小时计时电路 小时计时电路如图4.1所示。

Multisim14电子电路仿真方法和样例

Multisim14电子电路仿真方法和样例 2019年9月

本手册基于Multisim14仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim 14教学版使用说明书》或其它帮助文档。

1.MULTISIM14主界面简介 (4) 2.仿真电路图的建立 (4) 3.常用半导体器件特性曲线的测试方法 (5) 3.1晶体三极管特性曲线的测试 (5) 3.1.1 IV分析仪测试方法 (5) 3.1.2 直流扫描分析方法 (5) 3.2结型场效应管特性曲线的测试 (6) 3.2.1 IV分析仪测试方法 (6) 3.2.2 直流扫描分析方法 (7) 3.3二极管、稳压管伏安特性曲线的测试 (7) 4.放大电路静态工作点的测试方法 (7) 4.1虚拟仪器测试方法 (7) 4.2静态工作点分析方法 (8) 5.放大电路动态参数的测试方法 (8) 5.1电压放大倍数的测试 (8) 5.1.1瞬态分析测试方法 (8) 5.1.2 虚拟仪器测试方法 (9) 5.2输入电阻的测试 (9) 5.3输出电阻的测试 (10) 5.4频率响应的测试 (10) 5.4.1交流分析方法 (10) 5.4.2 波特图仪测试方法 (10) 6.电压传输特性的测试方法 (11) 7.上升时间的测试方法 (12) 8.逻辑函数的转换与化简 (13) 8.1逻辑函数转换为真值表 (13) 8.2真值表转换为逻辑函数 (13) 9.逻辑分析仪的使用方法 (14)

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

multisim 使用指导

Multi sim 原理图输入,仿真与可编程逻辑 入门指导

前言 祝贺您选择了Multisim。我们有信心将数年来增加的超级设计 功能交付给您。Electronics Worbench是世界领先的电路设计 工具供应商,我们的用户比其它任何的EDA开发商的用户都多。 所以我们相信,您将对Multisim以及您可能选择的任何其它 的Electronics Workbench产品所带来的价值感到满意。 文件惯例 当涉及到工具按钮时,相应的工具按钮出现在文字的左边。 虽然multisim的电路显示模式是彩色的,但本手册中以黑白 模式显示电路。(您可以将此定制成您喜好的设置) 当您看到这样的图标时,所描述的功能只有特定的版本才有。 用户可以购买相应的附加模块。 Multisim 用Menu/Item表示菜单命令。例如,File/Open表示 在File菜单中选择Open命令。 本手册用箭头( )表示程序信息。 Multisim文件系列 Multisim文件包括“Multisim入门指导”、“User Guide”和 在线帮助。所有的用户都会收到这两本手册的PDF版本。用户 还会收到所购买Multisim版本的印刷版手册。 入门指导 “入门指导”向您介绍Multisim界面,并指导您学习电路设 计(circuit)、仿真(similation)、分析(analysis)和报

告(reporting)。 User Guide “User Guide”详细介绍了Multisim的各项功能,它是基于 电路设计层次进行组织的,详细地描述了Multisim的各个方 面。 在线帮助 Multisim提供在线帮助文件系统以支持您使用,选择 Help/Multisim Manua l可显示详细描述Multisim程序的文件,或者选择Help/Multisim Help显示包含参考资料(来自于印 刷版的附录)的帮助文件,比如对Multisim所提供元器件的 详细介绍。所有的帮助文件窗口都是标准窗口,并提供内容列 表与索引。 Adobe PDF文件 Multisim光盘中提供“Getting Start and Tutorial”和“User Guide”的PDF文件,并且可从Windows的Start菜单进入。 目录 第一章导论

Multisim仿真应用手册

电子电路仿真应用手册 清华大学电子学教学组 2009年6月 前言 本手册基于Multisim V7仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 更高版本的Multisim仿真环境与之类似。此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim V7教学版使用说明书》或其它帮助文档。 目录 1 Multisim主界面简介 (2) 2仿真电路图的建立 (2) 3常用半导体器件特性曲线的测试方法 (3) 3.1 晶体三极管特性曲线的测试 (3) 3.1.1 IV分析仪测试方法 (3) 3.1.2 直流扫描分析方法 (3) 3.2 结型场效应管特性曲线的测试 (4) 3.2.1 IV分析仪测试方法 (4) 3.2.2 直流扫描分析方法 (4) 3.3 二极管、稳压管伏安特性曲线的测试 (5) 4放大电路静态工作点的测试方法 (5) 4.1 虚拟仪器测试方法 (5) 4.2 静态工作点分析方法 (5) 5放大电路动态参数的测试方法 (6) 5.1 电压放大倍数的测试 (6) 5.1.1瞬态分析测试方法 (6) 5.1.2虚拟仪器测试方法 (6) 5.2 输入电阻的测试 (6) 5.3 输出电阻的测试 (7) 5.4频率响应的测试 (7) 5.4.1交流分析方法 (7) 5.4.2 波特图仪测试方法 (7) 6电压传输特性的测试方法 (8) 7上升时间的测试方法 (9) 8逻辑函数的转换与化简 (10) 8.1 逻辑函数转换为真值表 (10) 8.2 真值表转换为逻辑函数 (10) 9逻辑分析仪的使用方法 (11)

Multisim数字电路仿真快速上手教程

Multisim 快速上手教程每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!!以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为 KVL+KC)L 来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft 来做数字电路,都到了做出 8bitCPU 的水平(、l )。这个很神奇。 以下进入正文 首先,下载Multisim 安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12 是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12 了。 然后运行,在Circuit Design Suite12.0 里,有一个multisim ,单击运行。进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。现在来以一个简单的数字逻辑电路为例:菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。来个7400 吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD-INPUT NAND 即是“四个双输入与非门”的意思。 点击确认,放置元件。 A B C、D在这里指一块7400里的四个双输入与非门,点击即可放置。看起来很和谐,那就做个RS 触发器吧。 这里输出用的是一种虚拟器件PROB,在Indicators 组,图标就是个数码管的那个。功能相当于实验箱上那些LED也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。接下来解决输入,同样仿照实验箱上方式解决——使用单刀双掷开关(英文简称SPDT) 这里介绍的技巧就是,在上述的界面里,把组选到所有组、系列选到所有系列,在元器件里输入“ SPDT,可以使用“ *”做通配符代替元件代号不清楚的地方。 同样的方法加入VCCDGND应该要与GROUN模拟地区分开),说道这里需要注意,Multisim 里的仿真,电路必须有接地,没有接地将无法启动仿真。出现这个窗口一定选确认。然后就是连线了。点击元件的端点就可以引出导线,到另一个元件端点即可结束。选中开关,双击之,出现下面窗口:把空格改为“ R”另一个开关用同样的方法改成“ S”。 一个RS 触发器就弄好了,然后就可以开始仿真了。点击菜单栏上一个绿色的类似于播放键的三角形图标,开始仿真。 R=0, S=0, Q和Q都输出为1. 然后可以按下键盘上的R和S键,切换开关,观察其他状态。如同时按下RS切换至1,将 观察到不稳定输出,两灯闪烁。(截图是一个时刻,无法展现闪烁的动态效果) 然后就没有了。_________________________________________________________________________ 再来说说有的元件出来是芯片: 你会发现没有VCCGND这些管脚,因为它们都被隐藏了。只要你在电路中添加了VCCDGND 软件就会将这些隐藏管脚与之相连。 来做个显示译码器+数码管吧! 把元件添加好:7448N+七位共阴极数码管

Multisim10实训指导书

实验一Multisim 软件介绍 实验名称:Multisim软件介绍 实验课时:2课时 实验时间: 第1周 实验地点:新实训中心2-A005 一、实验目的 1、熟悉软件的界面和器件库; 2、了解电路的创建,熟悉各种仪器的使用; 3、掌握电子电路的仿真操作过程。 二、实训设备 电脑 三、实验原理 电阻串联分压:在串联电路中,各电阻上的电流相等,各电阻两端的电压之和等于电路总电压。 四、实验内容 1、认识Multisim软件 2、电路的输入与编辑 3、设置Multisim的通用环境变量

用菜单Option/Preferences打开Preferences对话窗口,通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容作相应的设置。以标签Workspace为例,当选中该标签时,Preferences对话框中有 3 个分项: Show :可以设置是否显示网格,页边界以及标题框。 Sheet size设置电路图页面大小。 Zoom level:设置缩放比例。 4、取用元器件取用元器件有从工具栏取用和从菜单取用两种方法,下面将以74LS00 为例说明两种方法。从工具栏取用:直接在工具栏中选择TTL 按钮打开74LS 类器件的Comp on e nt Browser窗口选取,窗口中包含的字段有Database name (元器件数据库),Comp on e nt Family (元器件类型列表),Compo nent Name List (元器件名细表),Manufacture Names (生产厂家),Model Level-ID (模型层次)等内容。从菜单取用:通过Place/ Place Component命令打开Component Browser 窗口。 5、编辑元器件 当器件放置到电路编辑窗口中后,用户就可以进行移动、复制、粘贴、旋转、参数设置等编辑工作。 6、连接元器件 元器件放置在电路编辑窗口后,用鼠标就可以方便地将器件连接起来。方法是:用鼠标单击连线的起点并拖动鼠标至连线的终点。在Multisim 中连线的起点和终点不能悬空。 7 分析仿真电路 单击Simulate'Run开始仿真 8 保存电路

Multisim软件介绍

【软件介绍】 Multisim本是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT公司)推出的以Windows为基础的仿真工具,被美国NI公司收购后,更名为NI Multisim ,而V10.0是其(即NI,National Instruments)最新推出的Multisim最新版本。 目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。 NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。 NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。 NI Multisim 10的虚拟测试仪器仪表种类齐全,有一般实验用的通用仪器,如万用表、函数信号发生器、双踪示波器、直流电源;而且还有一般实验室少有或没有的仪器,如波特图仪、字信号发生器、逻辑分析仪、逻辑转换器、失真仪、频谱分析仪和网络分析仪等。 NI Multisim 10具有较为详细的电路分析功能,可以完成电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法,以帮助设计人员分析电路的性能。 NI Multisim 10可以设计、测试和演示各种电子电路,包括电工学、模拟电路、数字电路、射频电路及微控制器和接口电路等。可以对被仿真的电路中的元器件设置各种故障,如开路、短路和不同程度的漏电等,从而观察不同故障情况下的电路工作状况。在进行仿真的同时,软件还可以存储测试点的所有数据,列出被仿真电路的所有元器件清单,以及存储测试仪器的工作状态、显示波形和具体数据等。 NI Multisim 10有丰富的Help功能,其Help系统不仅包括软件本身的操作指南,更要的是包含有元器件的功能解说,Help中这种元器件功能解说有利于使用EWB进行CAI教学。另外,NI Multisim10还提供了与国内外流行的印刷电路板设计自动化软件Protel及电路仿真软件PSpice之间的文件接口,也能通过Windows的剪贴板把电路图送往文字处理系统中进行编辑排版。支持VHDL和Verilog HDL语言的电路仿真与设计。 利用NI Multisim 10可以实现计算机仿真设计与虚拟实验,与传统的电子电路设计与实验方法相比,具有如下特点:设计与实验可以同步进行,可以边设计边实验,修改调试方便;设计和实验用的元器件及测试仪器仪表齐全,可以完成各种类型的电路设计与实验;可方便地

Multisim使用手册

Multisim使用手册

第一章Multisim2001 基本界面 启动Windows“开始”菜单中的Multisim2001,打开Multisim2001的基本界面。从中我们可以看出,Multisim2001基本界面主要由菜单栏(Menus)、系统工具栏(System Toolbar)、Multisim的设计工具栏(Multisim Design Bar)、使用中的元件列表(In Use List)、仿真开关(Simulate)、元件工具栏(Component Toolbar)、连接https://www.sodocs.net/doc/a59544224.html,按钮、仪表工具栏(Instruments Toolbar)、电路窗口(Circuit Window)和状态栏(Status line)等项组成。 1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim2001菜单栏包含着9个主菜单,如图2-2-1所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Options(选项菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单,用户可以从中找到电路文件的存取、SPICE文件的输入和输出、电路图的编辑、电路的仿真与分析及在线帮助等各项功能的命令。 1-1 菜单栏 1.File(文件)菜单:主要用于管理所创建的电路文件,如打开、保存和打印 等,如下图所示:

它的下级命令及功能如下: New: 提供一个空白窗口以建立一个新文件。 Open: 打开一个已存在的*.msm、*.ewb或*.utsch等格式的文件。 Close: 关闭当前工作区内的文件。 Save: 将工作区内的文件以*.msm的格式存盘。 Save as: 将工作区内的文件换名存盘,仍为*.msm格式。 Print Circuit:打印当前工作区内的电原理图,其中包括Print(打印)、Print Preview(打印预览)和Print Circuit Setup(打印电路设置)命令。 Print Reports: 列表打印当前工作区内所编辑的电路图中的元器件(Bill of Materials)或元库(Database Family)或元器件的详细资料(Component Detail Report)。 Print Instruments: 选择打印当前工作区内的仪表波形图。 Print Setup: 打印机设置。 Recent Files: 最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能,故不再介绍。 2.Edit(编辑)菜单: 主要用于在电路绘制过程中,对电路和元件进行各 种技术性处理,如下图所示:

相关主题