搜档网
当前位置:搜档网 › 电机驱动电路(详细)

电机驱动电路(详细)

电机驱动电路(详细)
电机驱动电路(详细)

电机驱动电路

一、直流电机驱动电路的设计目标

在直流电机驱动电路的设计中,主要考虑一下几点:

1.功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电器直接带动电机即可,当电机需要双向

转动时,可以使用由4个功率元件组成的H桥电路或者使用一个双刀双掷的继

电器。如果不需要调速,只要使用继电器即可;但如果需要调速,可以使用三

极管,场效应管等开关元件实现PWM(脉冲宽度调制)调速。

2.性能:对于PWM调速的电机驱动电路,主要有以下性能指标。

1)输出电流和电压范围,它决定着电路能驱动多大功率的电机。

2)效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。

3)对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。

4)对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。

5)可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。

二、三极管-电阻作栅极驱动

1.输入与电平转换部分:

输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K 欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成

干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。

高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2.7V基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压范围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压范围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。

不能用LM339或其他任何开路输出的比较器代替运放,因为开路输出的高电平状态输出阻抗在1千欧以上,压降较大,后面一级的三极管将无法截止。

2.栅极驱动部分:

后面三极管和电阻,稳压管组成的电路进一步放大信号,驱动场效应管的栅极并利用场效应管本身的栅极电容(大约1000pF)进行延时,防止H桥上下两臂的场效应管同时导通(“共态导通”)造成电源短路。

当运放输出端为低电平(约为1V至2V,不能完全达到零)时,下面的三极管截止,场效应管导通。上面的三极管导通,场效应管截止,输出为高电平。当运放输出端为高电平(约为VCC-(1V至2V),不能完全达到VCC)时,下面的三极管导通,场效应管截止。上面的三极管截止,场效应管导通,输出为低电平。

上面的分析是静态的,下面讨论开关转换的动态过程:三极管导通电阻远小于2千欧,因此三极管由截止转换到导通时场效应管栅极电容上的电荷可以迅速释放,场效应管迅速截止。但是三极管由导通转换到截止时场效应管栅极通过2千欧电阻充电却需要一定的时间。相应的,场效应管由导通转换到截止的速度要比由截止转换到导通的速度快。假如两个三极管的开关动作是同时发生的,这个电路可以让上下两臂的场效应管先断后通,消除共态导通现象。

实际上,运放输出电压变化需要一定的时间,这段时间内运放输出电压处于正负电源电压之间的中间值。这时两个三极管同时导通,场效应管就同时截止了。所以实际的电路比这种理想情况还要安全一些。

场效应管栅极的12V稳压二极管用于防止场效应管栅极过压击穿。一般的场效应管栅极的耐压是18V或20V,直接加上24V电压将会击穿,因此这个稳压二极管不能用普通的二极管代替,但是可以用2千欧的电阻代替,同样能得到12V的分压。

3.场效应管输出部分:

大功率场效应管内部在源极和漏极之间反向并联有二极管,接成H桥使用时,相当于输出端已经并联了消除电压尖峰用的四个二极管,因此这里就没有外接二极管。输出端并联一个小电容(out1和out2之间)对降低电机产生的尖峰电压有一定的好处,但是在使用PWM 时有产生尖峰电流的副作用,因此容量不宜过大。在使用小功率电机时这个电容可以略去。如果加这个电容的话,一定要用高耐压的,普通的瓷片电容可能会出现击穿短路的故障。

输出端并联的由电阻和发光二极管,电容组成的电路指示电机的转动方向.

4.性能指标:

电源电压15~30V,最大持续输出电流5A/每个电机,短时间(10秒)可以达到10A,PWM 频率最高可以用到30KHz(一般用1到10KHz)。电路板包含4个逻辑上独立的,输出端两两接成H桥的功率放大单元,可以直接用单片机控制。实现电机的双向转动和调速。

5.布线:

大电流线路要尽量的短粗,并且尽量避免经过过孔,一定要经过过孔的话要把过孔做大一些(>1mm)并且在焊盘上做一圈小的过孔,在焊接时用焊锡填满,否则可能会烧断。另外,如果使用了稳压管,场效应管源极对电源和地的导线要尽可能的短粗,否则在大电流时,这段导线上的压降可能会经过正偏的稳压管和导通的三极管将其烧毁。在一开始的设计中,NMOS管的源极于地之间曾经接入一个0.15欧的电阻用来检测电流,这个电阻就成了不断

烧毁板子的罪魁祸首。当然如果把稳压管换成电阻就不存在这个问题了。

在2004年的Robocon比赛中,我们主要采用了这个电路用以电机驱动。

三、低压驱动电路的简易栅极驱动

一般功率场效应管的最高栅源电压为20V

左右,所以在24V应用中要保证栅源电压不能

超过20V,增加了电路的复杂程度。但在12V

或更低电压的应用中,电路就可以大大简化。

左图就是一个12V驱动桥的一边,上面电

路的三极管部分被两个二极管和两个电阻代

替。(注意,跟上图逻辑是反的)由于场效应

管栅极电容的存在,通过R3,R4向栅极电容

充电使场效应管延缓导通;而通过二极管直接

将栅极电容放电使场效应管立即截止,从而避

免了共态导通。

这个电路要求在IN端输入的是边缘陡峭

的方波脉冲,因此控制信号从单片机或者其他

开路输出的设备接入后,要经过施密特触发器

(比如555)或者推挽输出的高速比较器才能

接到IN端。如果输入边缘过缓,二极管延时

电路也就失去了作用。

R3,R4的选取与IN信号边沿升降速度有关,信号边缘越陡峭,R3,R4可以选的越小,开关速度也就可以做的越快。Robocon比赛使用的升压电路(原理相似)中,IN前用的是555。

四、边沿延时驱动电路

在前级逻辑电路里,有意地对控制PMOS的下降沿和控制NMOS的上升沿进行延时,再整形成方波,也可以避免场效应管的共态导通。另外,这样做可以使后级的栅极驱动电路简化,可以是低阻推挽驱动栅极,不必考虑栅极电容,可以较好的适应不同的场效应管。2003年Robocon比赛采用的就是这种驱动电路。下图是两种边沿的延时电路:

下图是对应的NMOS,PMOS栅极驱动电路:

这个栅极驱动电路由两级三极管组成:前级提供驱动场效应管栅极所需的正确电压,后级是一级射极跟随器,降低输出阻抗,消除栅极电容的影响。为了保证不共态导通,输入

的边沿要比较陡,上述先延时再整形的电路就可以做到。

五、其它几种驱动电路

1.继电器+半导体功率器件的想法

继电器有着电流大,工作稳定的优点,可以大大简化驱动电路的设计。在需要实现调速的电机驱动电路中,也可以充分利用继电器。有一个方案就是利用继电器来控制电流方向来改变电机转向,而用单个的特大电流场效应管(比如IRF3205,一般只有N型特大电流的管子)来实现PWM调速,如下右图所示。这样是实现特别大电流驱动的一个方法。换向的继电器要使用双刀双掷型的,接线如下左图,线圈接线如下中图:

2.几种驱动芯片

1)L298参考https://www.sodocs.net/doc/8b10839918.html,/searchpdf/st/L298N.pdf

2)A3952参考https://www.sodocs.net/doc/8b10839918.html,/datafile/archive/3952.pdf

3)A3940参考https://www.sodocs.net/doc/8b10839918.html,/datafile/3940.pdf

4)L6203参考https://www.sodocs.net/doc/8b10839918.html,/searchpdf/st/L6203.pdf

六、PWM调速的实现

1.使用定时器的算法

//butcher补充一下吧

//算法原理

//编程实现要点

//优缺点

2.使用循环移位的算法

产生PWM信号可以由定时器来完成,但是由于51内部只提供了两个定时器,因此如果要向三个或更多的直流电机输出不同占空比的信号要反复设置定时器,实现较为复杂,我们采用一种比较简单的方法不仅可以实现对更多的直流电机提供不同的占空比输入信号,而且只占用一个定时器资源。这种方法可以简单表述如下:

在内存的某段空间内存放各个直流电机所需的输入信号占空比信息,如果占空比为1则保存0FFH(11111111B);占空比为0.5则保存0F0H(11110000B)或任何2进制数中包括4个0和4个1。即

占空比=1的个数/8

具体选取什么样的二进制数要看输出频率的要求。若要对此直流电机输出PWM信号,只要每个时间片移位一次取出其中固定的一位(可以用位寻址或进位标志C实现)送到电机端口上即可。另外,移位算法是一种对以前结果依赖的算法,所以最好定期检查或重置被移位的数,防止移错导致一直错下去。

这种算法的优点是独立进程,可以实现对多个电机的控制,缺点是占用资源较大,PWM 频率较低。

3.模拟电路PWM的实现

上图为一个使用游戏手柄或者航模摇杆上的线性电位器(或线性霍尔元件)控制两个底盘驱动电机的PWM生成电路。J1是手柄的插座,123和456分别是x,y两个方向的电位器。U1B提供半电源电压,U1A是电压跟随。x,y分量经过合成成为控制左右轮两个电机转速的电压信号。在使用中,让L=(x+1)y/(x+1.4),R=(x-1)y/(x-0.6),经过试验有不错的效果(数字只是单位,不是电压值)。经过U1C和U1D组成的施密特振荡器把电压转换为相应的PWM信号,用来控制功率驱动电路。以U1D为例,R1,R2组成有回差的施密特电路,上下门限受输入电压影响,C1和R3组成延时回路,如此形成振荡的脉宽受输入电压控制。Q1,Q2是三极管,组成反相器,提供差分的控制信号。具体振荡过程参见数字电路教材上对555振荡器的分析。

七、步进电机驱动

1.小功率4相步进电机的驱动

下面是一种驱动电路框图:

达林顿管阵列ULN2803分别从锁存器取出第0,2,4,6位和1,3,5,7位去驱动两个步进电机.四相步进电机的通电顺序可以有几种:A,B,C,D(4相4拍);AB,BC,CD,DA(4相双4拍);A,AB,B,BC,C,CD,D,DA(4相8拍).为了兼顾稳定性,转矩和功耗,一般采用4相8拍方式.所有这些方式都可以通过循环移位实现(也要有定期监控),为了使4相8拍容易实现,锁存器与驱动部分采用了交叉连接.

步进电机工作在四相八拍模式(即正转的输入信号为1000→1100→0100→0110→

0010→0011→0001→1001→1000),对应每个步进电机要有四个信号输入端,理论上向端口输出信号可以控制两个步进电机的工作。寄存器循环移位奇偶位分别作两个步进电机的驱动端的做法,其思想如下:

LOOP:MOV A,#1110000B;在A寄存器中置入11100000

RR A;右移位

AJMP LOOP;循环右移位

这样在寄存器A中存储的值会有如下循环11100000→01110000→00111000→00011100→00001110→00000111→10000011→11000001→11100000,其奇数位有如下循环1000→1100→0100→0110→0010→0011→0001→1001→1000,其偶数位有如下循环1100→0100→0110→0010→0011→0001→1001→1000→1100.将A输出到P0端口,则奇数位和偶数位正是我们所需要的步进电机输入信号。

而事实上每个电机的动作是不同的,为此我们在RAM中为每个电机开辟一个byte 的状态字节用以循环移位.在每一个电机周期里,根据需要对每个电机的byte进行移位,并用ANL指令将两个电机的状态合成到一个字节里输出此时的A同时可以控制两个电机了

步进电机的速度由驱动脉冲的频率决定,移位的周期不同,电机的速度也就不同了.前面提到的电机周期,应该取各种可能的周期的最大公约数.换句话说,一旦电机周期取定,每个电机移位的周期应该是它的倍数.在程序中,对每个电机的相应时刻设定相应的分频比值,同时用一个变量进行加一计数:每到一个电机周期若计数变量<分频比值,则计数变量加1;若相等,则移位,计数变量清零.这样就实现了分频调速,可以让多个电机同时以不同的速度运转.

另外,也可以采用传统的查表方式进行驱动,程序稍长,但也比较稳定,这种方法非常适合三相步进电机。

UCN5804B/LB是Allegro公司生产的4相步进电机驱动专用芯片,它集成了控制逻辑,脉冲分配和功率推动,通过几个管脚的电平来设定转动方式,方向,通过改变外时钟频率来改变转动速度,这给完成复杂的动作和测试步进电机参数带来了极大的方便.

2.步进电机的智能驱动方案

步进电机有可以精确控制的优点,但是功耗大,效率低,力矩小。如果选用大功率步进电机,为了降低功耗,可以采取PWM恒流控制的方法。基本思路是,用带反馈的高频PWM根据输出功率的要求对每相恒流驱动,总体电流顺序又符合转动顺序。需要力矩小的时候应及时减小电流,以降低功耗。该方案实现的电路,可以采用独立的单片机或CPLD加场效应管驱动电路以及电流采样反馈电路。

八、附录:几种IRF场效应管的参数

型号极性电压(V)电流(A)导通电阻(Ohm) IRF540N N100330.040

IRF9540P-100-190.200

IRF840N50080.850

IRF3205N551100.008

IRF530N N100170.090

IRF9530P-100-120.300

其它型号可在https://www.sodocs.net/doc/8b10839918.html,上查到

L6203直流电机驱动设计原理图及例程

L6203直流电机控制驱动器 【简要说明】 一、尺寸:长66mmX宽33mm X高28mm 二、主要芯片:L6203 三、工作电压:控制信号直流4.5~5.5V;驱动电机电压7.2~30V 四、可驱动直流(7.2~30V之间电压的电机) 五、最大输出电流4A 六、最大输出功率20W 七、特点:1、具有信号指示 2、转速可调 3、抗干扰能力强 4、具有续流保护 5、可单独控制一台直流电机 6、PWM脉宽平滑调速(可使用PWM信号对直流电机调速) 7、可实现正反转 8、此驱动器非常时候控制飞思卡尔智能车,驱动器压降小,电流大,驱动能力强。【标注图片】

直流电机的控制实例 使用驱动器可以控制一台直流电机。电机分别为OUT1和OUT2。输入端EN可用于输入PWM脉宽调制信号对电机进行调速控制。(如果无须调速可将EN使能端,接高低电平,高电平启动,低电平停止。也可由单片机输出直接控制)实现电机正反转就更容易了,输入信号端IN1接高电平输入端IN2接低电平,电机正转。(如果信号端IN1接低电平,IN2接高电平,电机反转。)可参考下图表: 直流电机测试程序 【原理图】

【测试程序】 /******************************************************************** 汇诚科技 实现功能:调试程序 使用芯片:AT89S52 或者 STC89C52 晶振:11.0592MHZ 编译环境:Keil 作者:zhangxinchun 淘宝店:汇诚科技 *********************************************************************/ #include #define uchar unsigned char//宏定义无符号字符型 #define uint unsigned int //宏定义无符号整型 sbit P2_0=P2^0;//启动 sbit P2_1=P2^1;//停止 sbit P2_2=P2^2;//正转 sbit P2_3=P2^3;//反转 sbit P1_0=P1^0;//使能 sbit P1_1=P1^1;//IN1 sbit P1_2=P1^2;//IN2 /******************************************************************** 延时函数 *********************************************************************/ void delay(uchar t)//延时程序

直流电机驱动电路设计

直流电机驱动电路设计 一、直流电机驱动电路的设计目标 在直流电机驱动电路的设计中,主要考虑一下几点: 1. 功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电 器直接带动电机即可,当电机需要双向转动时,可以使用由4个功率元件组成的H桥电路或者使用一个双刀双掷的继电器。 如果不需要调速,只要使用继电器即可;但如果需要调速,可以使用三极管,场效应管等开关元件实现PWM(脉冲宽度调制)调速。 2. 性能:对于PWM调速的电机驱动电路,主要有以下性能指标。 1)输出电流和电压范围,它决定着电路能驱动多大功率的电机。 2)效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。 3)对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。 4)对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。 5)可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。 二、三极管-电阻作栅极驱动

1.输入与电平转换部分: 输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。 高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2.7V基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压范围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压范围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。 不能用LM339或其他任何开路输出的比较器代替运放,因为开路输出的高电平状态输出阻抗在1千欧以上,压降较大,后面一级的三极管将无法截止。 2.栅极驱动部分: 后面三极管和电阻,稳压管组成的电路进一步放大信号,驱动场效应管的栅极并利用场效应管本身的栅极电容(大约 1000pF)进行延时,防止H桥上下两臂的场效应管同时导通(“共态导通”)造成电源短路。 当运放输出端为低电平(约为1V至2V,不能完全达到零)时,下面的三极管截止,场效应管导通。上面的三极管导通,场效应管截止,输出为高电平。当运放输出端为高电平(约为VCC-(1V至2V),不能完全达到VCC)时,下面的三极管导通,场效

步进电机驱动电路设计

https://www.sodocs.net/doc/8b10839918.html,/gykz/2010/0310/article_2772.html 引言 步进电机是一种将电脉冲转化为角位移的执行机构。驱动器接收到一个脉冲信号后,驱动步进电机按设定的方向转动一个固定的角度。首先,通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;其次,通过控制脉冲顿率来控制电机转动的速度和加速度,从而达到涮速的目的。目前,步进电机具有惯量低、定位精度高、无累积误差、控制简单等特点,在机电一体化产品中应用广泛,常用作定位控制和定速控制。步进电机驱动电路常用的芯片有l297和l298组合应用、3977、8435等,这些芯片一般单相驱动电流在2 a左右,无法驱动更大功率电机,限制了其应用范围。本文基于东芝公司2008年推出的步进电机驱动芯片tb6560提出了一种步进电机驱动电路的设计方案 1步进电机驱动电路设计 1.1 tb6560简介 tb6560是东芝公司推出的低功耗、高集成两相混合式步进电机驱动芯片。其主要特点有:内部集成双全桥mosfet驱动;最高耐压40 v,单相输出最大电流3.5 a(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;采用hzip25封装。tb6560步进电机驱动电路主要包括3部分电路:控制信号隔离电路、主电路和自动半流电路。 1.2步进电机控制信号隔离电路 步进电机控制信号隔离电路如图1所示,步进电机控制信号有3个(clk、cw、enable),分别控制电机的转角和速度、电机正反方向以及使能,均须用光耦隔离后与芯片连接。光耦的作用有两个:首先,防止电机干扰和损坏接口板电路;其次,对控制信号进行整形。对clk、cw信号,要选择中速或高速光耦,保证信号耦合后不会发生滞后和畸变而影响电机驱动,且驱动板能满足更高脉冲频率驱动要求。本设计中选择2片6n137高速光耦隔离clk、cw,其信号传输速率可达到10 mhz,1片tlp521普通光耦隔离enable信号。应用时注意:光耦的同向和反向输出接法;光耦的前向和后向电源应该是单独隔离电源,否则不能起到隔离干扰的作用。

直流电机驱动电路设计

应用越来越广泛的直流电机,驱动电路设计 Source:电子元件技术| Publishing Date:2009-03-20 中心论题: ?在直流电机驱动电路的设计中,主要考虑功能和性能等方面的因素 ?分别介绍几种不同的栅极驱动电路并比较其性能优缺点 ?介绍PWM调速的实现算法及硬件电路 ?介绍步进电机的驱动方案 解决方案: ?根据实际电路情况以及要求仔细选择驱动电路 ?使用循环位移算法及模拟电路实现PWM调速 ?对每个电机的相应时刻设定相应的分频比值,同时用一个变量进行计数可实现步进电机的分频调速 直流电机驱动电路的设计目标 在直流电机驱动电路的设计中,主要考虑一下几点: 功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电器直接带动电机即可,当电机需要双向转动时,可以使用由4个功率元件组成的H桥电路或者使用一个双刀双掷的继电器。如果不需要调速,只要使用继电器即可;但如果需要调速,可以使用三极管,场效应管等开关元件实现PWM(脉冲宽度调制)调速。 性能:对于PWM调速的电机驱动电路,主要有以下性能指标。 1。输出电流和电压围,它决定着电路能驱动多大功率的电机。 2。效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。 3。对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。

4。对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。 5。可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。 三极管-电阻作栅极驱动 1.输入与电平转换部分: 输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。 高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2。7V 基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。

解析国标图集_常用电机控制电路图_

BUILDING ELECTRICITY 2011年 第期 Jun.2011Vol.30No.6 6 *:国家科技支撑计划子课题,课题名称:村镇小康住宅规划设计成套技术研究(课题任务书编号:2006BAJ04A01),子课 题名称:村镇住宅设备与设施设计技术集成及软件开发(子课题任务书编号:2006BAJ04A01-3)。Xu Lingxian Sun Lan (China Institute of Building Standard Design &Research ,Beijing 100048,China ) 徐玲献 孙 兰(中国建筑标准设计研究院,北京市 100048) Explanation and Analysis of National Standardization Collective Drawings Control Circuit Diagrams of Common Electric Machines * 解析国标图集《常用电机控制电路图》摘 要 对多年来国家建筑标准设计图集 10D303-2~3《常用电机控制电路图》(2010年合订本,已修编出版发行)使用中遇到的疑问进行汇总、解析,以加深读者对10D303-2~3的理解。 关键词信号灯端子标志消防控制室的监控消防风机消防水泵 过负荷 水源水池水位 双 速风机 0引言 国家建筑标准设计图集10D303-2~3《常用电 机控制电路图》 (2010年合订本) (以下简称 10D303)适用于民用及一般工业建筑内3/N /PE ~220/380V 50Hz 系统中常用风机和水泵的控制,是对99D303-2《常用风机控制电路图》和01D303-3《常用水泵控制电路图》的修编。根据现行的国家标 准,对图集中涉及到的项目分类代码和图形符号进行了修改,并在原图集方案的基础上,增加了两用单速风机、平时用双速风机、射流风机联动排风机及冷冻(冷却)水泵控制电路图。根据节能环保的要求,增加了YDT 型双速风机的控制方案。并根据电气产品的发展,增加了控制与保护开关电器(CPS )和电机控制器的控制方案,供设计人员直接选用。 10D303从立项调研、修编到送印,历经两年多的时间,期间收到了不少反馈意见和建议,为图集的编制提供了宝贵的建议,在此答谢。 《常用电机控制电路图》 (2002年合订本)发行 十余年中一直受到读者青睐,使用者涉及设计、生产和建造等多领域,通过国标热线和其他途径咨询问题的读者很多。问题中除风机和水泵的控制电路外,经常牵涉到现行的国家标准、制图要求和电气设计技术等多方面的内容,有些问题无法通过修编图集 10D303直接解决,因此借助《建筑电气》平台,把《常用电机控制电路图》经常咨询的问题归纳汇总、解析,以利于读者更好使用和理解10D303图集。 1有关国家标准、规范和制图要求的问题 1.1指示器(信号灯)和操作器(按钮)的颜色 标识 10D303中有关信号灯和按钮的颜色标识是依据国家标准GB /T 4025-2003/IEC 60073:1996《人-机界面标志标识的基本和安全规则 指示器和 作者信息 徐玲献,女,中国建筑标准设计研究院,高级工程师,主任工程师。 孙兰,女,中国建筑标准设计研究院,教授级高级工程师,院副总工程师。 Abstract The collective drawings of national building standard design 10D303-2~3Control Circuit Diagrams of Common Electric Machines (2010bound volume )has been revised and published.This paper summarizes and analyzes the questions encountered during use over the years so as to deepen the readers 'understanding of the collective drawings. Key words Signal light Terminal symbol Fire control room monitoring Fire fan Fire pump Overload Water level of the water tank of water source Two -speed fans * 34 330

有刷直流马达驱动电路

有刷直流马达驱动电路MX612 有刷直流马达驱动电路 MX612 概述 该产品为电池供电的玩具、低压或者电池供电的运动控制应用提供了一种集成的有刷直流马达驱动解决方案。电路内部集成了采用N沟和P沟功率MOSFET设计的H桥驱动电路,适合于驱动有刷直流马达或者驱动步进马达的一个绕组。该电路具备较宽的工作电压范围(从2V到10V),最大持续输出电流达到1.2A,最大峰值输出电流达到2.5A。 该驱动电路内置过热保护电路。通过驱动电路的负载电流远大于电路的最大持续电流时,受封装散热能力限制,电路内部芯片的结温将会迅速升高,一旦超过设定值(典型值150℃),内部电路将立即关断输出功率管,切断负载电流,避免温度持续升高造成塑料封装冒烟、起火等安全隐患。内置的温度迟滞电路,确保电路恢复到安全温度后,才允许重新对电路进行控制。 特性 ●低待机电流(小于0.1uA); ●低静态工作电流; ●集成的H桥驱动电路; ●内置防共态导通电路; ●低导通内阻的功率MOSFET管; ●内置带迟滞效应的过热保护电路(TSD); ●抗静电等级:3KV (HBM)。 典型应用 ● 2-6节AA/AAA干电池供电的玩具马达驱动; ● 2-6节镍-氢/镍-镉充电电池供电的玩具马达驱动; ● 1-2节锂电池供电的马达驱动

引脚排列 引脚定义 功能框图

注:D A JA T A表示电路工作的环境温度,θJA为封装的热阻。150℃表示电路的最高工作结温。 (2)、电路功耗的计算方法: P =I2*R 其中P为电路功耗,I为持续输出电流,R为电路的导通内阻。电路功耗P必须小于最大功耗P D (3)、人体模型,100pF电容通过1.5KΩ 电阻放电。 注:(1)、逻辑控制电源VCC与功率电源VDD内部完全独立,可分别供电。当逻辑控制电源VCC掉电之后,电路将进入待机模式。 (2)、持续输出电流测试条件为:电路贴装在PCB上测试,SOP8封装的测试PCB板尺寸为25mm*15mm。

H桥电机驱动原理与应用

H 桥电机驱动原理与应用 我们首先来看马达是如何转动的呢?举个例子: 你手里拿着一节电池,用导 线将马达和电池两端对接,马达就转动了;然后如果你把电池极性反过来会怎么 样呢?没有错,马达也反着转了。 OK 这个是最基本的了。现在假设你想用一块指甲盖大小的微控制芯片 (MCU >你又如何控制马达的呢?首先,你手上有一个固态的状态开关——一个 晶体管一一来控制马达的开关。 提示:如果你用继电器连接这些电路的时候, 要在继电器线圈两端并一个二 极管。这是为了保护电路不被电感的反向电动势损坏。二极管的正极(箭头)要 接地,负极要接在MCI 连接继电器线圈的输出端上。 电路连接好后,你可以用一个逻辑输出的信号来控制马达了。 高电平(逻辑 1)让继电器导通,马达转动;低电平(逻辑 0)让继电器断开,马达停止。 在电路相同的情况下,把马达的“极性”反过来接,我们可以控制马达的翻 转和停止。 问题来了:如果我们要同时需要马达能够正转好反转, 怎么办?难道每次都 要把马达的连线反过来接? 我们先来看另一个概念:马达速度。当我们在其中一种状态下,频繁的切换 开关状态的时候,马达的转速就不再是匀速,而是变化的了,相应的扭矩也会改 变。 通常反应出来的是马达速度的变化。 +JS

我们想要同时控制正反向的话,就需要更多的电路——没错,就是H桥电路。H桥电路的“ H'的意思是它实际电路在电路图上是一个字幕H的样式。下图就是一个用继电器连接成的H桥电路。 处于“高”位置的继电器是控制电源流入的方向,称之为“源”电路;处于“低”位置的继电器是控制电源流入地的方向,称之为“漏”电路。 现在,你将左上电路(A)和右下电路(D)接通,马达就正转了(如下图)此时各个端口的逻辑值为A-1、B-0、C-0、D-1. 1| i c) ARID ran-st ia-n

电机驱动电路总结

电机驱动电路 一、直流电机驱动电路的设计目标 在直流电机驱动电路的设计中,主要考虑一下几点: 1.功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电器直接带动电机 即可,当电机需要双向转动时,可以使用由4个功率元件组成的H 桥电路或者使用一个双刀双掷的继电器。如果不需要调速,只要使 用继电器即可;但如果需要调速,可以使用三极管,场效应管等开 关元件实现PWM(脉冲宽度调制)调速。 2.性能:对于PWM调速的电机驱动电路,主要有以下性能指标。 1)输出电流和电压范围,它决定着电路能驱动多大功率的电机。

2)效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。 要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。 3)对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。 4)对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。 5)可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。 二、三极管-电阻作栅极驱动 (如果电路看不清楚请到相册里看) 1.输入与电平转换部分: 输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。 高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2.7V基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压范围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压范围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。 不能用LM339或其他任何开路输出的比较器代替运放,因为开路输出的高电平状态输出阻抗在1千欧以上,压降较大,后面一级的三极管将无法截止。2.栅极驱动部分: 后面三极管和电阻,稳压管组成的电路进一步放大信号,驱动场效应管的栅极并利用场效应管本身的栅极电容(大约1000pF)进行延时,防止H桥上下两臂的场效应管同时导通(“共态导通”)造成电源短路。 当运放输出端为低电平(约为1V至2V,不能完全达到零)时,下面的三极管截止,场效应管导通。上面的三极管导通,场效应管截止,输出为高电平。当运放输出端为高电平(约为VCC-(1V至2V),不能完全达到VCC)时,下面的三极管导通,场效应管截止。上面的三极管截止,场效应管导通,输出为低电平。 上面的分析是静态的,下面讨论开关转换的动态过程:三极管导通电阻远小于2千欧,因此三极管由截止转换到导通时场效应管栅极电容上的电荷可以迅速释放,场效应管迅速截止。但是三极管由导通转换到截止时场效应管栅极通过2千欧电阻充电却需要一定的时间。相应的,场效应管由导通转换到截止的速度要比由截止转换到导通的速度快。假如两个三极管的开关动作是同时发生的,这个电路可以让上下两臂的场效应管先断后通,消除共态导通现象。

步进电机控制驱动电路设计.

实习名称:电子设计制作与工艺实习 学生姓名:周文生 学号:201216020134 专业班级:T-1201 指导教师:李文圣 完成时间: 2014年6月13日 报告成绩:

步进电机控制驱动电路设计 摘要: 本设计在根据已有模电、物电知识的基础上,用具有置位,清零功能的JK 触发器74LS76作为主要器件来设计环行分配器,来对555定时器产生的脉冲进行分配,通过功率放大电路来对步进电机进行驱动,并且产生的脉冲的频率可以控制,从而来控制步进电机的速度,环形分配器中具有复位的功能,在对于异常情况可以按复位键来重新工作。 关键字:555定时器脉冲源环行分配器功率放大电路 一、方案论证与比较: (一)脉冲源的方案论证及选择: 方案一:采用555定时器产生脉冲,它工作频率易于改变从而可以控制步进电机的速度并且工作可靠,简单易行。 C2 10uF 图一 555定时器产生的方法 方案二:采用晶振电路来实现,晶振的频率较大,不利于电机的工作,易失步,我们可以利用分频的方法使晶振的频率变小,可以使电机工作稳定,但分频电路较复杂,并且晶振起振需要一定的条件,不好实现。

X1 1kohm 1kohm 图二晶振产生脉冲源电路 综上所述,我们采用方案一来设计脉冲源。 (二)环形分配器的设计: 方案一:采用74ls194通过送入不同的初值来进行移位依此产生正确的值使步进电机进行转动。但此方案的操作较复杂,需要每次工作时都要进行置位,正反转的操作较复杂,这里很早的将此方案放弃。 方案二:使用单独的JK 触发器来分别实现单独的功能。 图三双三拍正转 图四单三拍正转

图五三相六拍正转 利用单独的做,电路图较简单,单具体操作时不方便,并且不利于工程设计。块分的较零散,无法统一。 方案三:利用JK触发器的自己运动时序特性设计,利用卡诺图来进行画简。 图六单,双三拍的电路图 单,双三拍的正,反转主要由键s1,s2的四种状态来决定四种情况的选择。

步进电机驱动电路设计

步进电机驱动电路设计 摘要 随着数字化技术发展,数字控制技术得到了广泛而深入的应用。步进电机是一种将数字信号直接转换成角位移或线位移的控制驱动元件, 具有快速起动和停止的特点。因为步进电动机组成的控制系统结构简单,价格低廉,性能上能满足工业控制的基本要求,所以广泛地应用于手工业自动控制、数控机床、组合机床、机器人、计算机外围设备、照相机,投影仪、数码摄像机、大型望远镜、卫星天线定位系统、医疗器件以及各种可控机械工具等等。直流电机广泛应用于计算机外围设备( 如硬盘、软盘和光盘存储器) 、家电产品、医疗器械和电动车上, 无刷直流电机的转子都普遍使用永磁材料组成的磁钢, 并且在航空、航天、汽车、精密电子等行业也被广泛应用。在电工设备中的应用,除了直流电磁铁(直流继电器、直流接触器等)外,最重要的就是应用在直流旋转电机中。在发电厂里,同步发电机的励磁机、蓄电池的充电机等,都是直流发电机;锅炉给粉机的原动机是直流电动机。此外,在许多工业部门,例如大型轧钢设备、大型精密机床、矿井卷扬机、市内电车、电缆设备要求严格线速度一致的地方等,通常都采用直流电动机作为原动机来拖动工作机械的。直流发电机通常是作为直流电源,向负载输出电能;直流电动机则是作为原动机带动各种生产机械工作,向负载输出机械能。在控制系统中,直流电机还有其它的用途,例如测速电机、伺服电机等。他们都是利用电和磁的相互作用来实现向机械能能的转换。 介绍了步进电机和直流电机原理及其驱动程序控制控制模块,通过AT89S52单片机及脉冲分配器(又称逻辑转换器)L298完成步进电机和直流电机各种运行方式的控制。实现步进电机的正反转速度控制并且显示数据。整个系统采用模块化设计,结构简单、可

直流电机驱动控制电路_NMosfet

1 引言 长期以来,直流电机以其良好的线性特性、优异的控制性能等特点成为大多数变速运动控制和闭环位置伺服控制系统的最佳选择。特别随着计算机在控制领域,高开关频率、全控型第二代电力半导体器件(GTR、GTO、MOSFET、IGBT等)的发展,以及脉宽调制(PWM)直流调速技术的应用,直流电机得到广泛应用。为适应小型直流电机的使用需求,各半导体厂商推出了直流电机控制专用集成电路,构成基于微处理器控制的直流电机伺服系统。但是,专用集成电路构成的直流电机驱动器的输出功率有限,不适合大功率直流电机驱动需求。因此采用N沟道增强型场效应管构建H桥,实现大功率直流电机驱动控制。该驱动电路能够满足各种类型直流电机需求,并具有快速、精确、高效、低功耗等特点,可直接与微处理器接口,可应用PWM技术实现直流电机调速控制。 2 直流电机驱动控制电路总体结构 直流电机驱动控制电路分为光电隔离电路、电机驱动逻辑电路、驱动信号放大电路、电荷泵电路、H桥功率驱动电路等四部分,其电路框图如图一 由图可以看出,电机驱动控制电路的外围接口简单。其主要控制信号有电机运转方向信号Dir电机调速信号PWM及电机制动信号Brake,Vcc为驱动逻辑电路部分提供电源,Vm为电机电源电压,M+、M-为直流电机接口。 在大功率驱动系统中,将驱动回路与控制回路电气隔离,减少驱动控制电路对外部控制电路的干扰。隔离后的控制信号经电机驱动逻辑电路产生电机逻辑控制信号,分别控制H桥的上下臂。由于H桥由大功率N沟道增强型场效应管构成,不能由电机逻辑控制信号直接驱动,必须经驱动信号放大电路和电荷泵电路对控制信号进行放大,然后驱动H桥功率驱动电路来驱动直流电机。 3 H桥功率驱动原理 直流电机驱动使用最广泛的就是H型全桥式电路,这种驱动电路方便地实现直流电机的四象限运行,分别对应正转、正转制动、反转、反转制动。H桥功率驱动原理图如图2所示。

电源供电以及电机驱动原理与电路分析

电源供电以及电机驱动原理与电路分析 第一部分:供电电路原理 供电部分原理图如图1-1所示: 图1-1 从图1-1中可知道供电有+5V、+3.3V、+1.5V三种,其中每个电源均有0.1μF的旁路电容,将电源中的高频串扰旁路到地,防止高频信号通过电源串扰到其它模块中。同时还能将电源本身的工频干扰滤除。 值得注意的是:在布线的时候,经退藕电容退藕后的电源输出点应该尽量紧靠芯片的电源引脚进行供电,过长的引线有可能重新变成干扰接收天线,导致退藕效果消失。如果无法让每个退藕后的电源输出点均紧靠芯片的电源引脚,那么可以采用分别退藕的方法,即分别尽量紧靠每个芯片的电源引脚点接入退藕电容进行退藕,这也解释了为什么图1-1的3.3V电源有两个退藕输出点。

第二部分:电机驱动电路原理 电机驱动电路原理如图2-1所示: 图2-1 图2-1中Header 4X2为4排2列插针,FM0~3为FPGA 芯片I/O 输出口,加入的插针给予一个可动的机制,在需要使用时才用跳线帽进行相连,提高I/O 口的使用效率。RES5是五端口排阻,内部集成了4个等阻值且一端公共连接的电阻,PIN 1是公共端,PIN2~5为排阻的输出端,排阻原理图如图2-2所示: 图2-2 该排阻公共端接电源,即上拉电阻形式,作用是增强FPGA 芯片I/O 口(以下简称I/O 口)的驱动能力,实际上就是增加I/O 输出高电平时输出电流的大小。当I/O 输出高电平时,+5V 电源经排阻与IN1~4相连,相当于为I/O 提供一个额外的电流输出源,从而提高驱动能力。当I/O 输出低电平时,可将I/O 近似看做接地,而IN1~4因与I/O 由导线直接相连,因此直接接受了I/O 的低电平输出信号。此时,+5V 电源经排阻R 、I/O 内部电路(电阻近似为零)后接地,因此该路的电流不能大于I/O 的拉电流(i I )最大值,有公式2-1: i I R V ≤+5(公式2-1) 即 i I V R 5+≥(公式2-2) 由公式2-2可以得出排阻的取值范围。 该上拉电阻除了提高驱动能力外,还有一个作用,就是进行电平转换。经查,ULN2003的接口逻辑为:5V-TTL, 5V-CMOS 逻辑。而在3.3V 供电的情况下,I/O 口可以提供3.3V-LVTTL , 3.3V-LVCMOS ,3.3V-PCI 和SSTL-3接口逻辑电平。因此,需要外接5V 的上拉电阻将I/O 电平规格变成5V 电平逻辑。

电机驱动电路的设计

《电子线路CAD》课程论文题目:电机驱动电路的设计

1 电路功能和性能指标 此电路是用MCU发出的PWM波来控制电机的转速的电路,电路输入电压是7.2V。 2 原理图设计 2.1原理图元器件制作 元器件截图: 图1 这个是图中的BTN7971的原理图,是一款电机驱动半桥芯片。 制作步骤: 1.点击菜单栏的放置,然后点击弹出的窗口中的矩形,如下图: 图2 2.然后鼠标光标下就会出现一个黄色的矩形边框,自己就可以随意设置边框的大小,之后框图的大小可以拖动修改,如下图:

图3 3.框图定好后,点击下图的图标,可以进行画引脚: 图4 4.放引脚时可以按table键设置引脚属性: 图5 2.2 原理图设计 ①原理图设计过程: 首先简历里一个PCB工程项目,保存命名为BTN驱动,然后在这个工程下面

建立一个原理图文件和一个PCB文件,并将其保存并重命名为BTN在与工程相同的目录下面,然后开始绘制原理图了,将所有设置默认为初始状态不需要更改,然后开始画原理图了,将其模块化绘图比较方便好看。 ②下面就是绘制成功后的原理图: 图6 ③下图为massage框图: 图7 其操作步骤为: 1.点击system中的message, 2.然后点击下图中高亮部分 图8

3.最后打开message就可以看见编译信息了 4.之后根据错误提示进行查找修改,直至没有错误和警告,如下图: 图9 ④该项目的元器件库截图如下: 图10 图11

生成原理图库的步骤为: 1.点击界面右下角的design compiler,然后点击如图高亮部分: 图12 2.点击界面上面的工具栏中的设计,然后点击高亮部分: 图13 3.最后可以查看刚才打开的navigater,如图:

直流电机(H桥)驱动电路

直流电机(H桥)驱动电路 图4.12中所示为一个典型的直流电机控制电路。电路得名于“H桥驱动电路”是因为它的形状酷似字母 H。4个三极管组成H的4条垂直腿,而电机就是H中的横杠(注意:图4.12及随后的两个图都只是示意图,而不是完整的电路图,其中三极管的驱动电路没有画出来)。 如图所示,H桥式电机驱动电路包括4个三极管和一个电机。要使电机运转,必须导通对角线上的一对三极管。 根据不同三极管对的导通情况,电流可能会从左至右或从右至左流过电机,从而控制电机的转向。 图4.12 H桥驱动电路 要使电机运转,必须使对角线上的一对三极管导通。例如,如图4.13所示,当Q1管和Q4管导通时,电流就从电源正极经Q1从左至右穿过电机,然后再经 Q4回到电源负极。按图中电流箭头所示,该流向的电 流将驱动电机顺时针转动。当三极管Q1和Q4导通时,电流将从左至右流过电机,从而驱动电机按特定方向转动(电机周围的箭头指示为顺时针方向)。

图4.13 H桥电路驱动电机顺时针转动 图4.14所示为另一对三极管Q2和Q3导通的情况,电流将从右至左流过电机。当三极管Q2和Q3导通时,电流将从右至左流过电机,从而驱动电机沿另一方向转动(电机周围的箭头表示为逆时针方向)。 图4.14 H桥驱动电机逆时针转动 驱动电机时,保证H桥上两个同侧的三极管不会同时导通非常重要。如果三极管Q1和Q2同时导通,那么电流就会从正极穿过两个三极管直接回到负极。此时,电路中除了三极管外没有其他任何负载,因此电路

上的电流就可能达到最大值(该电流仅受电源性能限制),甚至烧坏三极管。基于上述原因,在实际驱动电 路中通常要用硬件电路方便地控制三极管的开关。 图4.155 所示就是基于这种考虑的改进电路,它在基本H桥电路的基础上增加了4个与门和2个非门。4个与门同一个“使能”导通信号相接,这样,用这一个信号就能控制整个电路的开关。而2个非门通过提供一种方向输人,可以保证任何时候在H桥的同侧腿上都只有一个三极管能导通。(与本节前面的示意图一样,图4.15所示也不是一个完整的电路图,特别是图中与门和三极管直接连接是不能正常工作的。) 图4.15 具有使能控制和方向逻辑的H桥电路 采用以上方法,电机的运转就只需要用三个信号控制:两个方向信号和一个使能信号。如果DIR-L信号为0,DIR-R信号为1,并且使能信号是1,那么三极管Q1和Q4导通,电流从左至右流经电机(如图4.16所示);如果DIR-L信号变为1,而DIR-R信号变为0,那么Q2和Q3将导通,电流则反向流过电机。 图4.16 使能信号与方向信号的使用

较大功率直流电机驱动电路的设计方案

1 引言 直流电机具有优良的调速特性,调速平滑、方便、调速范围广,过载能力强,可以实现频繁的无级快速启动、制动和反转,能满足生产过程中自动化系统各种不同的特殊运行要求,因此在工业控制领域,直流电机得到了广泛的应用。 许多半导体公司推出了直流电机专用驱动芯片,但这些芯片多数只适合小功率直流电机,对于大功率直流电机的驱动,其集成芯片价格昂贵。基于此,本文详细分析和探讨了较大功率直流电机驱动电路设计中可能出现的各种问题,有针对性设计和实现了一款基于25D60-24A 的直流电机驱动电路。该电路驱动功率大,抗干扰能力强,具有广泛的应用前景。 2 H 桥功率驱动电路的设计 在直流电机中,可以采用GTR 集电极输出型和射极输出性驱动电路实现电机的驱动,但是它们都属于不可逆变速控制,其电流不能反向,无制动能力,也不能反向驱动,电机只能单方向旋转,因此这种驱动电路受到了很大的限制。对于可逆变速控制, H 桥型互补对称式驱动电路使用最为广泛。可逆驱动允许电流反向,可以实现直流电机的四象限运行,有效实现电机的正、反转控制。而电机速度的控制主要有三种,调节电枢电压、减弱励磁磁通、改变电枢回路电阻。三种方法各有优缺点,改变电枢回路电阻只能实现有级调速,减弱磁通虽然能实现平滑调速,但这种方法的调速范围不大,一般都是配合变压调速使用。因此在直流调速系统中,都是以变压调速为主,通过PWM(Pulse Width Mo dulation)信号占空比的调节改变电枢电压的大小,从而实现电机的平滑调速。 2.1 H 桥驱动原理 要控制电机的正反转,需要给电机提供正反向电压,这就需要四路开关去控制电机两个输入端的电压。当开关S1 和S4 闭合时,电流从电机左端流向电机的右端,电机沿一个方向旋转;当开关S2 和S3 闭合时,电流从电机右端流向电机左端,电机沿另一个方向旋转, H 桥驱动原理等效电路图如图1 所示。

电机驱动电路详细经典

先给大家介绍个技术交流QQ群有什么不能搞好的可以大家交流 28858693 技术交流QQ群 H桥驱动电路原理 2008-09-05 16:11 一、H桥驱动电路 图4.12中所示为一个典型的直流电机控制电路。电路得名于“H桥驱动电路”是因为它的形状酷似字母H。4个三极管组成H的4条垂直腿,而电机就是H中的横杠(注意:图4.12及随后的两个图都只是示意图,而不是完整的电路图,其中三极管的驱动电路没有画出来)。 如图所示,H桥式电机驱动电路包括4个三极管和一个电机。要使电机运转,必须导通对角线上的一对三极管。根据不同三极管对的导通情况,电流可能会从左至右或从右至左流过电机,从而控制电机的转向。 图4.12 H桥驱动电路 要使电机运转,必须使对角线上的一对三极管导通。例如,如图4.13所示,当Q1管和Q4管导通时,电流就从电源正极经Q1从左至右穿过电机,然后再经 Q4回到电源负极。按图中电流箭头所示,该流向的电流将驱动电机顺时针转动。当三极管Q1和Q4导通时,电流将从左至右流过电机,从而驱动电机按特定方向转动(电机周围的箭头指示为顺时针方向)。

图4.13 H桥电路驱动电机顺时针转动 图4.14所示为另一对三极管Q2和Q3导通的情况,电流将从右至左流过电机。当三极管Q2和Q3导通时,电流将从右至左流过电机,从而驱动电机沿另一方向转动(电机周围的箭头表示为逆时针方向)。 图4.14 H桥驱动电机逆时针转动 二、使能控制和方向逻辑 驱动电机时,保证H桥上两个同侧的三极管不会同时导通非常重要。如果三极管Q1和Q2同时导通,那么电流就会从正极穿过两个三极管直接回到负极。此时,电路中除了三极管外没有其他任何负载,因此电路上的电流就可能达到最大值(该电流仅受电源性能限制),甚至烧坏三极管。基于上述原因,在实际驱动电路中通常要用硬件电路方便地控制三极管的开关。 图4.155 所示就是基于这种考虑的改进电路,它在基本H桥电路的基础上增加了4个与门和2个非门。4个与门同一个“使能”导通信号相接,这样,用这一个信号就能控制整个电路的开关。而2个非门通过提供一种方向输人,可以保证任何时候在H桥的同侧腿上都只有一个三极管能导通。(与本节前面的示意图一样,图4.15所示也不是一个完整的电路图,特别是图中与门和三极管直接连接是不能正常工作的。)

常用电机驱动电路及原理

由于本人主要是搞软件的,所以硬件方面不是很了解,但是为了更好地相互学习,仅此整理出一份总结出来,有什么错误的地方还请大家积极的指出!供大家一起参考研究! 我们做的智能小车,要想出色的完成一场比赛,需要出色的控制策略!就整个智能车这个系统而言,我们的被控对象无外乎舵机和电机两个!通过对舵机的控制能够让我们的小车实时的纠正小车在赛道上的位置,完成转向!当然那些和我一样做平衡组的同学不必考虑舵机的问题!而电机是小车完成比赛的动力保障,同时平衡组的同学也需要通过对两路电机的差速控制,来控制小车的方向!所以选一个好的电机驱动电路非常必要! 常用的电机驱动有两种方式:一、采用集成电机驱动芯片;二、采用MOSFET和专用栅极驱动芯片自己搭。集成主要是飞思卡尔自己生产的33886芯片,还有就是L298芯片,其中298是个很好的芯片,其内部可以看成两个H桥,可以同时驱动两路电机,而且它也是我们驱动步进电机的一个良选!由于他们的驱动电流较小(33886最大5A持续工作,298最大2A持续工作),对于我们智能车来说不足以满足,但是电子设计大赛的时候可能会用到!所以想要详细了解他们的同学可以去查找他们的数据手册!在此只是提供他们的电路图,不作详细介绍! 33886运用电路图

下面着重介绍我们智能车可能使用的驱动电路。普遍使用的是英飞凌公司的半桥驱动芯片BTS7960搭成全桥驱动。其驱动电流约43A,而其升级产品BTS7970驱动电流能够达到70几安培!而且也有其可替代产品BTN79 70,它的驱动电流最大也能达七十几安!其内部结构基本相同如下: 每片芯片的内部有两个MOS管,当IN输入高电平时上边的MOS管导通,常称为高边MOS管,当IN输入低电平时,下边的MOS管导通,常称为低边MOS 管;当INH为高电平时使能整个芯片,芯片工作;当INH为低电平时,芯片不工作。其典型运用电路图如下图所示: EN1和EN2一般使用时我们直接接高电平,使整个电路始终处于工作状态!

电机及电机驱动模块设计

电机及电机驱动模块设计 1.电机选择 通过对各种电机性能的初步查询和在单片机开发板上对于步进马达和PWM直流电机的实验,我们了解到:步进电机的优点是可以精确定位,但缺点是耗电量大,若采用电池供电,可能不能长时间工作,此外,采用步进电机需要两块驱动板,控制复杂。而直流电机的缺点是不能实现精确定位,但是可以通过调节PWM波实现调速,但在电源相同的条件下,速度较慢;优点是耗电小。由于设备有限,我们无法精确测量两种电机工作时的实际工作电流,上述比较出自文献[1]与产品参数的分析。结合我们的需求,最终决定选择普通直流电机。2.增加驱动、实现换向、实现调速 由于电机属于大功率的器件,而单片机的I/O口所提供的电流往往十分有限,所以必须外加驱动电路来增大驱动;由于我们小车中即将使用的直流电机没有电刷,且供电电源为单电源,所以需要设计一个电子开关以实现换向功能。通过对电机驱动原理的研究得知使用H 桥电路可以实现这两个功能。 从图中可以看出,在上面电路由于内部采用了三极管,三极管本身起到放大的作用,即增大了驱动电流;假设开关A、D接通,电机正向转动,而开关B、C接通时,直流电机将反向转动,从而实现了电机的正反控制。 依据这个原理,我们决定直接使用结构较为简单、价格便宜且可靠性高的电机驱动芯片来连接单片机与电机以减少电路搭建的麻烦和硬件设计的复杂性。电机驱动芯片L298N内部的组成其就是H桥驱动电路,其内部电路图如下:

各引脚功能以及性能参数再次不做赘述。因为小车中打算采用两个直流电机,而选择的L298的特点是工作电压高,输出电流大。因此决定设计单片机和电机独立供电,即控制电路和驱动电路双电源供电。优点是可以保证电源功率和电压大小满足需要,可提高系统的稳定性。缺点是电机驱动模块中独立电影的增加会使车体变重,可能影响小车的运行效果。 最后将L298的引脚正确连接到单片机PO口并拉上电阻,通过Keil对单片机编写程序让小车上的两个电机正反转即可实现小车前进。目前已经写出使两个电机正转的程序,等待测试。小车左右转向的程序设计还未完成。 结构框图

相关主题