搜档网
当前位置:搜档网 › 集成电路计算机辅助设计课程设计

集成电路计算机辅助设计课程设计

集成电路计算机辅助设计课程设计
集成电路计算机辅助设计课程设计

集成电路计算机辅助设计课程设计

四位加法器电路设计及版图实现

专业:电子科学与技术

班级:

学号:

姓名:王康

指导教师:邱彦章

日期:2012年6月下旬

前言

微电子技术、电子技术和计算机技术在相互渗透、相互支撑和相

20实际的历

IC产业实

IC设计又取决于优

甚至上亿只晶体管。从上世纪80年代开始,IC制造的特征尺寸由3um 缩小至0.09um,大约每五年缩小一倍。

随着特征尺寸的减小,IC的速度、功耗、性能等各种性能都得到了很大的提高,价格却迅速下降。社会的需求不断推动集成电路产业的发展,在IC设计方面,从晶体管的集成发展,进而发展到IP核的集成,在单个芯片上实现整个系统的功能,即IC设计已发展到片上系统SOC阶段。SOC中可以包括数字IC模拟IC射频IC和传感器,能实现非常复杂的系统功能。

目录

................... (6)

6

..................... .. (8)

....... . (9)

12

) (13)

(15)

(16)

第六部分心得体会........................................... (18)

附:

鸣谢 (19)

参考文献 (20)

第一部分题目要求

题目四位加法器电路设计及版图实现

它把成千

,电容CPA甚至电感

可以说没有版图

而且也会极大

实际、单元库、IP库的建立,

集成电路版图设计密切相关。

题目要求

设计一个四位连波进位加法器单元电路。完成电路原理图的设计,仿真,以及版图设计。要求尽可能满足下列要求。

(4)利用L-EDIT

CMOS工艺规则或L-EDIT

做小。

关键词

集成电路版图NMOS PMOS

四位加法器

第二部分电路设计

第一节集成电路版图设计原理

受到IC制造工艺极限条件和具体工艺要求的限制,IC版图设计在移交制造厂家之前,必须进行一系列的版图验证。版图验证主要包括以下几个步骤:

(1)DRC,对IC版图做几何空间检查,以确保线路能被特定加工工艺实现;(2)ERC,检查电源与地的短路,器件、节点悬空以及节点间的短路等错误。(3)LVS,将版图与电路原理图作对比,以检查电路的连接。(4)LPE,从版图数据库提取电气参数,并用spice网表表示电路。

验证流程,首先是几何规则DRC验证,再是电气规则ERC验证,最后是版图和电路图一致性验证LVS。

第二节集成电路中的元件

(一)MOS晶体管

MOS晶体管是集成电路中的最近本的有源元件,在CMOS工艺中,又PMOS和NMOS两种,可用作跨到原件,开关,有源电阻,mos电容等。而在物理版图中,只要一条多晶硅跨国有冤屈就形成一个MOS晶体管,将其DGSB四段用一线引出即可与电路中的其他元件连接。

如图NMOS

PMOS

(二)集成电阻和集成电容

MOS集成电路是以MOS晶体管为主要元件构成的电路,以及这些晶体管连接起来的连线,此外,集成电阻电容以及计生三极管二极管等也是MOS集成电路中的重要元件。电阻式最基本的无源元件之一,是输入输出静态保护电路,是模拟电路必不可少的原件。在版图中,涉及到最多的电阻就是多晶硅电阻。多晶硅电阻在场区上,加二外的高祖blocking层,时期方块电阻变大,可制作阻值很大的电阻。其次涉及最多的就是电容MOS电容,它的结构和MOS晶体管一样,是一个感应沟道电容,当栅上加电压形成沟道时电容存在。一极是栅,另一极是沟道,沟道这一极由S(D)端引出。电容的大小取决于面积

氧化层的厚度及介电常数。同时它也是非线性电容,适用于电源滤波。(三)衬底双极晶体管和二极管

衬底双极晶体管是电路中的有源元件之一,对于N阱CMOS工艺,就可实现PNP BJT。它还可用于电压基准点路。其又3大特点,集电极电压受到限制,须接地;汲取宽度没有很好控制,电流增益差别大;结构上的两个主要参数是汲取宽度和BE结面积。

二极管也是有源元件之一,对于N阱CMOS工艺,有PSD/NWELL和NSD/P-epi两种diode,主要用于ESD保护电路。他和BJT一样有三大特点:存在几声PNP BJT问题,电流容易漏到衬底,BJT的beta范围可从0.1到10;有较大的串联电阻;结构上的主要参数就是结面积。

第三节集成电路的制作流程

Tanner tool 的设计集成电路流程.

Tanner tools pro 是一套集成电路设计软件,包括s-edit,t-spice,w-edit,l-edit与LVS,个软件的主要功能整理如下。

将要设计的电路先以s-edit编辑出电路图,再将该电路图输出成spice文件。接着利用t-spice将该电路模拟并输出成spice文件,如果模拟结果有误,再回s-edit检查电路图,如果无误,则以l-edit进行布局图设计。用l-edit进行布局图设计后要以DRC功能做设计规则检查,若违反设计规则,再将布局图进行修改直到设计规则检查无误。验证过的布局图,转化为spice文件,再利用t-spice模拟,若有错误,再回到l-edit修改布局图,最后利用LVS将电路图输出的spice文件与布局图转化的spice文件对比,弱对比结果不相同,则返回修改l-edit 或者s-edit的图。直到验证无误,将l-edit设计好的布局图输出成GDSll 文件类型,交由工厂去制作半导体制作过程中的光罩。

第四节S-seit编辑四位连波进位加法器

四位加法器输入端与输出端,

四位加法器关系式:{Cout,S}=A+B。

先编辑一个一位全加器电路图和其符号图。如下

一位全加器电路

一位全加器符号

然后在做四位加法器的时候调用一位全加器电路进行级联做成四位加法器电路图,并编辑出其符号图。如下电路图

如下符号图

第三部分:电路仿真

第一节生成spice文件

spice文件如下

第二节仿真分析(瞬态、直流、温度、功耗)

瞬态分析

直流分析

温度分析

功耗分析

Power Results

vvdd from time 1e-009 to 1e-006

Average power consumed -> 3.092471e-004 watts

Max power 6.658623e-002 at time 1.01828e-007

Min power 2.434706e-006 at time 1.87442e-007

第四部分:版图设计

第一节一位全加器版图设计

用tanner l—edit软件进行一位加法器的版图设计,以及DRC规则验证并生成spc文件进行仿真。

一位全加器,版图如下

第二节四位加法器版图设计

将上面设计好的一位全加器按照电路连接要求进行级联,成为四位连波进位加法器,并进行规则检查。

四位加法器版图如下

四位加法器版图局部放大

第五部分结论

按照课设要求,成功完成一个四位连波进位加法器单元电路设计。四位连波进位加法器是由四个一位全加器逐级相连而成,因此开始先设计一个一位全加器单元电路,进而在设计四位加法器时调用一位全加器进行电路设计,方便快捷。用L-edit软件编辑四位全加器电路也是在一位全加器的基础上搭建的,并进行了DRC规则检查正确无误。

第六部分心得体会

通过本次试验我对集成电路版图设计有了全面的认识,设计软件使用更加熟悉,相关规则更加明确,能够独立完成简单电路版图的设计与规则检查,但是同时在实验过程中也遇到许多问题,比如版图设计时原件布局,版图各层的大小尺寸和间距,连线与原件之间的距离,连线交叉时的处理方法,尤其是不同连线之间的连接等问题,困扰了我一段时间,使得设计进度有所减慢,但经过不断摸索和同学间的共同探讨,以上问题都得以解决,并在要求的时间内,顺利完成了版图设计。

因为自己通过努力认真,成功完成一个电路版图的设计工作,看到最终的成功作品,有结果有收获,心情十分愉悦,有一种工程师般的感觉。

同时让我对日后的学习工作方面的事情有了更加清晰的认识,也为即将结束的大学生活和就要到来的工作做下了一定的铺垫,同时

深深认识到集成电路版图设计需要十分的细心耐心和热心,即使是一位全加器都如此复杂更不用说其他更大规模的集成电路的复杂程度,因此这份工作应该付出非比寻常的细心。

鸣谢

本次课程设计在设计过程中得到肖剑、邱彦章老师的细心指导,并为我们指点迷津,帮助我开拓研究思路,精心点拨、热忱鼓励,一丝不苟的作风,严谨求实的态度,踏踏实实的精神令人感动,同时还教会了我许多的事情比如以后的学习、工作和科研方面应该如何去做。感谢他们,虽然仅2个星期,却给以终生受益无穷之道。我对老师的感激之情是无法用言语表达的。

同时感谢周围同学的帮助指导,让我能更加顺利地完成课设,我要向诸位老师及同学深深地鞠上一躬。谢谢!

参考文献

1、大规模集成电路陈贵灿张瑞智着高等教育出版社

2、Tanner Pro集成电路设计与布局实践指导廖裕评陆瑞强着科学出版社

3、集成电路掩模设计—基础版图设计Christopher Saint /Judy Saint 着周润德译清华大学出版社

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

CMOS异或门集成电路课程设计

课程设计任务书 学生姓名:王帅军专业班级:电子1103班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS异或门 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD和L-EDIT软件。 (2)设计一个CMOS异或门电路。 (3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2014.12.29布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2014.12.29-12.31学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.1-1.8对CMOS异或门电路进行设计仿真工作,完成课设报告的撰写。 2015.1.9 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ...................................................................................................................................... I I 1绪论 (1) 2 异或门介绍 (2) 3仿真电路设计 (3) 3.1 ORCAD软件介绍 (3) 3.2仿真电路原理图 (4) 3.3仿真分析 (5) 4版图设计 (8) 4.1 L-EDIT软件介绍 (8) 4.2版图绘制 (8) 4.3 CMOS异或门版图DRC检查 (10) 5心得体会 (11) 参考文献 (12) 附录 (123)

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

集成电路课程设计

集成电路课程设计报告 课题:二输入或非门电路与版图设计 专业 电子科学与技术 学生姓名 严 佳 班 级 B 电科121 学号 1210705128 指导教师 高 直 起止日期 2015.11.16-2015.11.29

摘要 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 越来越多的电子电路都在使用MOS管,特别是在音响领域更是如此。MOS 管与普通晶体管相比具有输入阻抗高、噪声系数小、热稳定性好、动态范围大等优点,且它是一种压控器件,有与电子管相似的传输特性,因而在集成电路中也得到了广泛的应用。 关键词:CMOS门电路或非门集成电路

绪论 目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 1.设计要求 (1)学习Multisim软件和L-Edit软件 (2)设计一个基于CMOS的二输入或非门电路。 (3)利用Multisim和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 2.设计目的 (1)熟悉Multisim软件的使用。 (2)L-Edit软件的使用。 (3)培养自己综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练,加深对集成电路版图设计的了解。 3.设计原理 能够实现B =“或非”逻辑关系的电路均称为“或非门”。二输入或 A L+ 非门有两个输入端A和B以及一个输出端L,只有当A端和B端同时为高电平时输出才为低电平,否则输出都为高电平。在一个或门的输出端连接一个非门就构成了“或非门”,如图1.1所示,逻辑符号如图1.2所示,真值表如图1.3所示。

课程设计微电子器件与工艺课程设计报告

课程设计微电子器件与工艺课程设计报告

目录 1.设计任务及目标 (1) 2.课程设计的基本内容 (1) 2.1 pnp双极型晶体管的设计 (1) 2.2 设计的主要内容 (1) 3.晶体管工艺参数设计 (2) 3.1 晶体管的纵向结构参数设计 (2) 3.1.1 集电区杂质浓度的确定 (2) 3.1.2 基区及发射区杂质浓度 (3) 3.1.3 各区少子迁移率及扩散系数的确定 (3) 3.1.4 各区少子扩散长度的计算 (4) 3.1.5 集电区厚度的选择 (4) 3.1.6 基区宽度的计算 (4) 3.1.7 扩散结深 (6) 3.1.8 表面杂质浓度 (7) 3.2晶体管的横向设计 (8) 3.3工艺参数的计算 (8) 3.3.1 基区磷预扩时间 (8) 3.3.2基区磷再扩散时间计算 (8) 3.3.3 发射区硼预扩时间计算 (9) 3.3.4 发射区硼再扩散时间计算 (9) 3.3.5 基区磷扩散需要的氧化层厚度 (10) 3.3.6 发射区硼扩散需要的氧化层厚度 (11) 3.3.7 氧化时间的计算 (11) 3.3.8设计参数总结 (12) 4晶体管制造工艺流程 (13) 4.1硅片及清洗 (15) 4.2氧化工艺 (16)

4.3光刻工艺 (17) 4.3.1光刻原理 (17) 4.3.2具体工艺流程 (18) 4.3.3硼的扩散 (19) 4.3.4磷的扩散 (20) 5 版图 (20) 6总结 (23) 7参考文献 (23)

微电子器件与工艺课程设计报告 ——pnp 双极型晶体管的设计 1、课程设计目的与任务 《微电子器件与工艺课程设计》是继《微电子器件物理》、《微电子器件工艺》和《半导体物理》理论课之后开出的有关微电子器件和工艺知识的综合应用的课程,使我们系统的掌握半导体器件,集成电路,半导体材料及工艺的有关知识的必不可少的重要环节。 目的是使我们在熟悉晶体管基本理论和制造工艺的基础上,掌握晶体管的设计方法。要求我们根据给定的晶体管电学参数的设计指标,完成晶体管的纵向结构参数设计→晶体管的图形结构设计→材料参数的选取和设计→制定实施工艺方案 晶体管各参数的检测方法等设计过程的训练,为从事微电子器件设计、集成电路设计打下必要的基础。 2、课程设计的基本内容 2.1 pnp 双极型晶体管的设计 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 2.2 设计的主要内容: (1)了解晶体管设计的一般步骤和设计原则。 (2)根据设计指标选取材料,确定材料参数,如发射区掺杂浓度N E ,,基区掺 杂浓度N B ,集电区掺杂浓度N C ,根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 (3)根据主要参数的设计指标确定器件的纵向结构参数,如集电区厚度W c , 基区宽度W b ,发射极宽度W e 和扩散结深X jc ,发射结结深等。 (4)根据结深确定氧化层的厚度,氧化温度和氧化时间;杂质预扩散和再扩散 的扩散温度和扩散时间。 (5)根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、 发射区和金属接触孔的光刻版图。

集成电路课程设计报告书

集成电路原理及应用课程设计报告 \\ 题目 DDS芯片AD9850原理及应用 授课教师 学生 学号 专业

教学单位 完成时间 2011年7月1日 摘要:介绍了美国A D公司采用先进的直接数字频率合成 ( DDS )技术推出的高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口,并给出了接口电路图和部分源程序。同时给出了以AD9850为频率合成器,以AT89S52单片机为进程控制和任务调度核心来设计一个信号频率和幅度都能预置且频率稳定度高的函数信号发生器的设计方法. 引言 随着“软件无线电”技术和数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术——直接数字合成器(Direct Digital Synthesizer。DDS)被广泛应用。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。美国AD公司推出的高集成度的采用先进的CMOS技术的直接频率合成器AD9850是DDS技术的典型产品之一。AD9850是高稳定度的直接数字频率合成器件,部数据输入寄存器、可编程DDS系统、高性能数/模转换器(DAC)及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器,如接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的正弦信号。AD9850中包含高速比较器,正弦波也可直接用作频率信号源,也可通过比较器转换成方波,作为时钟输出。本文主要介绍了高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口及应用设计。 一.特性: 1)最高125MHz的时钟频率; 2)片集成高性能模数变换器(10位ADC)和高速比较器; 3)具有良好的动态性能:在40MHz输出时,DAC的抑制寄生动态围(SFDR)仍大于50dB; 4)供电模式可选:+5v或+3.3v单电源供电;

数字电子技术课程设计指导书_第二版)

数字电子技术课程设计指导书 第二版 物理与光电工程学院 电工电子部 陈元电编著 2008-10-5

一、数字电子技术课程设计的目的与意义 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过课程设计要实现以下两个目标:第一,让学生初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、数字电子技术课程设计的方法和步骤 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。 1、设计任务分析 对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。 2、方案论证 这一步的工作要求是把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。 3、方案实现 1)单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。 2)参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 3)器件选择 阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的 电路对电阻和电容性能要求也不同,有些电路对电容的漏电要求很严,还有些电路对电阻、电容的性能和容量要求很高。例如滤波电路中常用大容量铝电解电容,为滤掉高频通常还需并联小容量瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、

集成电路课程设计(范例)

集成电路课程设计 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计一电路设计及模拟一版图设计一版图 验证等正向设计方法2. 设计题目与要求 2.1 设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|l O H < 20 卩A, V O H min=4.4V; (3)输出底电平时,|l OL| < 4mA V O L ma=0.4V; (4)输出级充放电时间t r=t f , t pd V25ns; (5)工作电源5V,常温工作,工作频率f work = 30MHZ总功耗P max= 150mW。 2.2 设计要求 1. 独立完成设计74HC139芯片的全过程; 2. 设计时使用的工艺及设计规则:MOSlS:mhp_n12; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(入)为单位的设计规则; 5. 全手工、层次化设计版图; 6. 达到指导书提出的设计指标要求。 3. 设计方法与计算 3.1 74HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMO数字电路集成芯片,能与TTL集

成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1 所示: 地址输人数据输岀 ▼[>!> Sb A Ob A)b Y (lb lb Y Zb 丫盹 加加 I I I 二 _「 选通I —I 地址输人数擔输出 图1 74HC139芯片管脚图 表1 74HC139真值表 从图1可以看出74HC139芯片是由两片独立的2—4译码器组成的,因此设计时只需分析其中一个2—4译码器即可,从真值表我们可以得出Cs为片选端,当其为0时,芯片正常工作,当其为1时,芯片封锁。A1、A0为输入端,丫0-丫3为输出端,而且是低电平有效。 2—4译码器的逻辑表达式,如下所示: 丫0 C s A A C s A A o 丫 1 C s A A o C s A A o

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

相关主题