搜档网
当前位置:搜档网 › 基于单片机红外遥控器

基于单片机红外遥控器

基于单片机红外遥控器
基于单片机红外遥控器

单片机原理及系统课程设计

评语:

考勤(10)守纪(10)过程(40)设计报告(30)答辩(10)总成绩(100)

专业:自动化

班级:自动化1202

姓名:

学号:201209111

指导教师:于晓英

兰州交通大学自动化与电气工程学院

2014年12 月31日

基于单片机的红外遥控器

1方案设计

1.1题目

基于单片机的红外遥控器。

1.2设计目的

通过本次设计对所学的单片机知识有更深入的了解;特别是单片机的红外发送,红外接收,中断,定时,计数,频率,矩阵键盘以及红外遥控NEC协议的理解和掌握。同时也能熟练掌握keil软件和protues仿真软件,主要目的是让我们把所学的基础知识运用到实际当中去。

1.3方案

本设计主要应用了AT89C51单片机作为核心,综合应用了单片机中断系统、定时器、计数器等知识,应用红外线的优点。遥控操作的不同,遥控发射器通过对红外线发射频率的控制来区别不同的操作。遥控接收器通过对红外光接收频率的识别,判断出控制操作,来完成整个红外遥控发射、接收过程。

发射模块:单片机不工作时一直处于低功耗状态,采用了空闲节电工作方式。当遥控器的某一按键被按下以后,外部中断1产生中断,唤醒单片机进入工作状态,查询键盘按下的是哪一个按键,当确认按键后,控制软件启动定时器T0、T1,T1作为发射时间控制器,T0作为红外线发射频率控制器,T0定时溢出时中断程序使红外管接口电平反转一次,写入定时器的初值不同,在输出端口就得到不同的发射频率。T1定时溢出时中断程序关闭T0定时器,停止红外线发射。其设计原理框图如图1所示。

接收模块;利用单片机中的T0作为红外脉冲计数器,T1作为计数时间控制器。当电路中红外接收管接收到第一个红外脉冲时,外部中断1被触发,启动计数器T0和定时器T1。定时溢出,中断程序关闭计数器T0,读入计数值并进行判断,确定操作对象(遥控按键)对其进行反转操作,控制电路对所控制的负载进行开或关。其设计原理框图如图2所示。

1.4模块图

红外发射部分对应模块图如图1所示,红外接收部对应模块图如图2所示,其功能为方案所述。

AT89C51单片机

AT89C51单片机矩阵键盘

矩阵键盘红外发射电路

红外发射电路5V 电源

5V 电源

图1 发射部分模块图

AT89C51

单片机

AT89C51单片机控制继电器

开关

控制继电器开关红外接收

电路红外接收电路状态指示电路

状态指示电路

图2 接收部分模块图

2硬件设计

2.1单片机最小系统

单片机的最小系统是指用最少的元件组成的单片机可以工作的系统,对51单片机来说,最小系统一般应该包括:单片机、晶振电路、复位电路。

P10P11P12P13P14P15P16P17XT AL2

18

XT AL119ALE 30EA

31

PSEN 29RST

9

P0.0/AD0

39P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

C2

22PF

X1

12M

R3

1k

C1

22PF

C3

10uF

图3 单片机的最小系统

2.2矩阵键盘电路

图3为矩阵键盘电路。矩阵键盘的行线分别与单片机的p1.7, p1.6, p1.5 p1.4 相连接,列线分别与单片机的的p1.3, p1.2, p1.1,p1.0相连接。行列

线的低为分别为p1.7,p1.3。扫描键盘时通过先扫行再扫列确定按键的位置。

P 14

P 15

P 16

P 17

P10

P11

P12

P13

图3 矩阵键盘电路

2.3红外发射电路

图4为红外发射电路,当原始信号为低电平的时候,38KHZ 载波输出,当信号为低电平的时候不发送载波。用38KHZ 的载波去装载原始信号。

图4 红外发射电路

2.4红外接收电路

图5为红外接收电路,红外接收完成对红外信号的接收、放大、检波、整形,并解调出遥控编码脉冲,为了减少干扰,采用的是一体化红外接收头,它接收的红外信号频率为38KHZ 。

图5红外接收电路

2.5 仿真发射接收电路

图6位为仿真发射接收电路,由于proteus 仿真无线传输,故利用中断在单片机产生频率为38KHZ 的载波信号,,把发射部分和接收部分用红外接收发射管连接,再在单片机内部进行解调。

Demodulator

IRL1

IRLINK

红外接收发射管

U3

NOT

图6 仿真发射接收电路

2.6接收状态反映电路

图7所示为输出状态反映电路,当按下矩阵开关的四个不同按键,继电器分别响应开关状态。

P110

P114

P135

RL1

OMIH-SH-105D

RL2

OMIH-SH-105D

Q1

PNP

R6

2.2k D6

LED-RED R7

1k

Q2

PNP

R8

1k

R9

2.2k D7

LED-RED

R10

1k

L1

5V

L2

5V

图7 输出状态反映电路

2.7整体仿真电路

图8所示为整体仿真电路,红外遥控就是把红外线作为载体的遥控方式。

由于红外线的波长远小于无线电波的波长,因此在采用红外遥控方式时,不会干扰其他电器的正常工作,也不会影响临近的无线电设备。常用的红外遥控系统一般分发射和接收两个部分。当按下遥控器按钮就会产生具有不同的编码数字脉冲,这种代码指令信号调制在38kHz 的截波上,激励红外光二级管产生具有脉冲串的红外波,通过空间的传送到受控机内的遥控接收器。在接收过程中,红外波信号通过光电二级管转换为38kHz 的电信号,此信号经过放大、检波、整形、解调、送到解码与接口电路,从而完成相应的遥控功能。但由于proteus 仿真软件无法进行无线仿真,故利用中断在单片机产生频率为38KHZ 的载波信号,装载原始信号,再在接收部分进行解调。控制继电器的开关。

P 14

P 15

P 16

P 17

P10

P11

P12

P13

P110P114P10P11P12P13P14P15P16P17P110

P114

P135

P136

P135P136

XT AL2

18

XT AL119ALE 30EA

31

PSEN 29RST

9

P0.0/AD0

39P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD 17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51XT AL2

18

XT AL119ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01

P1.12P1.23P1.34P1.45

P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U2

AT89C51

D1

LED-RED

R1

2.2k

Demodulator

IRL1

IRLINK

红外接收发射管

U3

NOT

RL1

OMIH-SH-105D

RL2

OMIH-SH-105D

RL3

OMIH-SH-105D

RL4

OMIH-SH-105D

Q1

PNP

R6

2.2k D6

LED-RED R7

1k

Q2

PNP

R8

1k

R9

2.2k D7

LED-RED Q3

PNP

Q4

PNP

R10

1k

R11

2.2k R12

2.2k D8

LED-RED D9

LED-RED

R13

1k

L1

5V

L2

5V

L3

5V

L4

5V

C2

22PF

X1

12M

R3

1k

C1

22PF

C3

10uF

图8 整体仿真电路

3软件设计

3.1流程图

流程图有两部分组成,分别为发射部分和接收部分。

开始

开始定时中断38KHz

定时中断38KHz 扫描键盘

扫描键盘发送9ms 的起始码载波有效发送9ms 的起始码载波有效发送4.5ms 的结果码载波无效

发送4.5ms 的结果码载波无效发送16位地

址的前8位

发送16位地址的前8位发送16位地址的后8位

发送16位地址的后8位发送8位数据

码发送8位数据码发送8位数据码的反码

发送8位数据码的反码结束

结束判断有键按下

判断有键按下Y

判断是否有9ms

低电平

判断是否有9ms 低电平判断是否接收完毕

判断是否接收完毕依位接收32位二进制代码

依位接收32位二进制代码中断开始

中断开始Y

N

开始

开始初始化

初始化结束

校验反码

是否正确

赋键值导通相应的开关

Y

N

N

图9 发送部分(左)接收部分(右)流程图

3.2源程序

源程序见附录。

4系统仿真

4.1仿真截图

图9所示为整体仿真结果,按下矩阵键盘的按键,相应的会有继电器的闭合,LED 灯的亮灭。

P 14

P 15

P 16

P 17

P10

P11

P12

P13

P110P114P10P11P12P13P14P15P16P17P110

P114

P135

P136

P135P136

XT AL2

18

XT AL119ALE 30EA

31

PSEN 29RST

9

P0.0/AD0

39P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD 17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51XT AL2

18

XT AL119ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01

P1.12P1.23P1.34P1.45

P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U2

AT89C51

D1

LED-RED

R1

2.2k

Demodulator

IRL1

IRLINK

红外接收发射管

U3

NOT

RL1

OMIH-SH-105D

RL2

OMIH-SH-105D

RL3

OMIH-SH-105D

RL4

OMIH-SH-105D

Q1

PNP

R6

2.2k D6

LED-RED R7

1k

Q2

PNP

R8

1k

R9

2.2k D7

LED-RED Q3

PNP

Q4

PNP

R10

1k

R11

2.2k R12

2.2k D8

LED-RED D9

LED-RED

R13

1k

L1

5V

L2

5V

L3

5V

L4

5V

图10 整体仿真电路

参考文献

[1] 王思明,张金敏,张鑫等.单片机原理及应用系统设计.北京:科学出版社,2012. [2] 张金敏,董海棠,高博等,单片机原理应用系统设计.成都:西南交通大学出版社,2010. [3]谭浩强.C 程序设计(第四版).北京.清华大学出版社,2010.

附录

原理图

图1 发射过程原理图

源程序

/*******************************************************************

红外发射源程序

*******************************************************************/ #include

#define uint unsigned int

#define uchar unsigned char //宏定义

#define K P1 //键盘使用管脚定义

sbit HL=P3^4;

sbit LED=P3^3; //红外管脚接口

static bit OP; //红外发射管的亮灭控制

static uint count; //延时计数器

static uint endcount; //终止延时计数器

static uchar flag; //红外发送标志

char iraddr1; //16位地址第一字节

char iraddr2; //16位地址第二字节

char Key_=0x10; //发送数据

uchar Data=0x00; //键盘参数

void SendIRdata(char p_irdata); //函数声明红外发送

uchar Key(); //键盘扫描

/********************************************************************

主函数

********************************************************************/ void main()

{ count=0;

flag=0;

OP=0;

HL=0; //初始化

EA=1; //总中断开

TMOD=0x11; //设置定时器0和1为16位模式

ET0=1; //定时器0中断允许

TH0=0xff;

TL0=0xe6; //设定定时大小38K 等加于26us中断一次

TR0=1; //开始计数

iraddr1=3;

iraddr2=252; //写16位地址

while(1) //循环发射

{ Key_=Key(); //检测按键

if(Key_!=0x10) //是否有按键按下

{ SendIRdata(Key_); //发送

Data=Key_; //读取参数显示

while(Key_!=0x10) //等待松开

{ Key_=Key();

}

}

LED=1;

}

}

/******************************************************************

定时器0中断处理函数

*******************************************************************/ void timeint(void) interrupt 1

{ TH0=0xff;

TL0=0xe6; //设定定时器初值

count++; //中断计数累加

if(flag==1)

{ OP=~OP; }

else

{ OP=0; }

HL=OP;

}

/******************************************************************

发送数据函数

*******************************************************************/ //发送的延时时间参数即(endcount)都是在12MHZ的值

void SendIRdata(char p_irdata)

{ int i;

char irdata=p_irdata;

//发送9ms的起始码

endcount=223;

flag=1;

count=0;

while(count

//发送4.5ms的结果码

endcount=117;

flag=0;

count=0;

do{}while(count

//发送16位地址的前八位

irdata=iraddr1;

for(i=0;i<8;i++)

{ //先发送0.56ms的38K红外波(即编码中的0.56ms的低电平)endcount=10;

flag=1;

count=0;

do{}while(count

//停止发送红外信号(即编码中的高电平)

if(irdata-(irdata/2)*2) //判断二进制的个位是1还是0

{ endcount=41;} //1

else

{ endcount=15; } //0

flag=0;

count=0;

do{}while(count

irdata=irdata>>1;

}

//发送16位地址的后八位

irdata=iraddr2;

for(i=0;i<8;i++)

{ //先发送0.56ms的38K红外波(即编码中的0.56ms的低电平)endcount=10;

flag=1;

count=0;

do{}while(count

//停止发送红外信号(即编码中的高电平)

if(irdata-(irdata/2)*2) //判断二进制的个位是1还是0 { endcount=41; } //1

else

{ endcount=15; } //0

flag=0;

count=0;

do{}while(count

irdata=irdata>>1;

}

//发送8位数据

irdata=p_irdata;

for(i=0;i<8;i++)

{ //先发送0.56ms的38K红外波(即编码中的0.56ms的低电平)endcount=10;

flag=1;

count=0;

do{}while(count

//停止发送红外信号(即编码中的高电平)

if(irdata-(irdata/2)*2) //判断二进制的个位是1还是0 { endcount=41; } //1

else

{ endcount=15; } //0

flag=0;

count=0;

do{}while(count

irdata=irdata>>1;

}

//发送8位数据反码

irdata=~p_irdata;

for(i=0;i<8;i++)

{ //先发送0.56ms的38K红外波(即编码中的0.56ms的低电平)endcount=10;

flag=1;

count=0;

do{}while(count

//停止发送红外信号(即编码中的高电平)

if(irdata-(irdata/2)*2) //判断二进制的个位是1还是0

{ endcount=41; } //1

else

{ endcount=15; } //0

flag=0;

count=0;

do{}while(count

irdata=irdata>>1;

}

//结束信息

endcount=10;

flag=1;

count=0;

do{}while(count

flag=0;

}

/******************************************************************

键盘扫描函数

*******************************************************************/ uchar Key()

{ //扫描键盘

K=0x7f;

if(K==0x77)

{ LED=0;

return 0x01;

}

else if(K==0x7b)

{ LED=0;

return 0x02;

}

else if(K==0x7d)

{ LED=0;

return 0x03;

}

else if(K==0x7e)

{ LED=0;

return 0x0A;

}

//无键盘按下

else

{ return 0x10; }

}

/******************************************************************

红外接收源程序

*******************************************************************/ #include

#define uchar unsigned char //宏定义

#define uint unsigned int

//时间计算

#define Imax 14000 //此处为晶振为11.0592时的取值,

#define Imin 8000 //如用其它频率的晶振时,

#define Inum1 1450 //要改变相应的取值。

#define Inum2 700

#define Inum3 3000

unsigned char Im[4]={0x00,0x00,0x00,0x00}; //解码变量

//全局变量

uchar Data=0x00;

uchar f;

unsigned long m,Tc;

unsigned char IrOK;

sbit LED1=P1^0; //4个输出

sbit LED2=P1^4;

sbit LED3=P3^5;

sbit LED4=P3^6;

/******************************************************************

外部中断解码程序_外部中断1

*******************************************************************/ void intersvr1(void) interrupt 2

{ TR0=1;

Tc=TH0*256+TL0; //提取中断时间间隔时长

TH0=0;

TL0=0; //定时中断重新置零

if((Tc>Imin)&&(Tc

{ m=0;

f=1;

return;

}

//找到启始码

if(f==1)

{ if(Tc>Inum1&&Tc

{ Im[m/8]=Im[m/8]>>1|0x80; m++; }

if(Tc>Inum2&&Tc

{ Im[m/8]=Im[m/8]>>1; m++; } //取码

if(m==32) //识别出32位

{ m=0;

f=0;

if(Im[2]==~Im[3]) //检验反码

{ IrOK=1;

TR0=0; //关闭定时器

}

else IrOK=0; //取码完成后判断读码是否正确

}

//准备读下一码

}

}

/******************************************************************

主程序

*******************************************************************/ void main(void)

{ m=0;

f=0;

EA=1; //开启总中断

IT1=1; //下降沿有效

EX1=1; //外部中断0开

TMOD=0x11; //定时器初始化

TH0=0; //T0赋初值

TL0=0;

TR0=0; //t0开始计时

while(1) //循环执行

{ if(IrOK==1) //如果解码成功

{ IrOK=0; //清空标志位

Data=Im[2]; //赋值信息

if(Data==0x0d) //判断是哪个按键按下

LED1=!LED1; //控制开关

else if(Data==0x0c)

LED2=!LED2;

else if(Data==0x0b)

LED3=!LED3;

else if(Data==0x0a)

LED4=!LED4;

}

}

}

基于单片机的红外遥控小车设计

单片机系统设计实例 红外遥控小车 专业:信息对抗技术 姓名:吴志飞 学号:1411050121 指导教师:张东阳

目录 1 绪论 (1) 2 系统分析 (2) 2.1系统框架 (2) 2.2电机驱动模块 (3) 2.3 LCD显示模块 (4) 3 系统硬件设计 (5) 3.1主控模块的电路设计 (6) 3.1.1AT89C51单片机的简介 (8) 3.1.2AT89C51管脚功能 (8) 3.2红外遥控模块的电路设计 (9) 3.2.1红外遥控的实现原理 (10) 3.2.2红外发射器 (11) 3.2.3红外接收器 (12) 3.3电机驱动模块的电路设计 (12) 3.4显示模块的电路设计 (13) 4 系统软件设计 (14) 4.1程序代码 (14) 4.2软件流程图 (17) 5 调试与仿真 (18) 5.1在keil中进行调试 (18) 5.2在Proteus中进行仿真 (19) 6 总结 (21) 参考文献 (22) I

沈阳理工大学课程设计说明书 1 绪论 随着计算机、微电子、信息技术的快速进步,智能化技术的开发速度越来越快,,智能化程度越来越高,应用范围也越来越广,包括海洋开发、宇宙探测、工农业生产、军事、社会服务、娱乐等各个领域。智能电动小车系统以迅猛发展的汽车电子为背景,涵盖了控制、模式识别、传感技术、电子、电气、计算机、机械等多个学科。主要由路径识别、角度控制及车速控制等功能模块组成。同时,当今机器人技术发展的如火如荼,其在国防等众多领域的应用广泛开展。神五、神六升天、无人飞船等等无不得益于机器人技术的迅速发展。一些发达国家已把机器人制作比赛作为创新教育的战略性手段,参加者多数为学生,目的在于通过大赛全面培养学生的动手能力、创造能力、合作能力和进取精神,同时也普及智能机器人的知识。从某种意义上来说,机器人技术反映了一个国家综合技术实力的高低,而智能电动小车是机器人的雏形,它的控制系统的研制将有助于推动智能机器人控制系统的发展,同时为智能机器人的研制提供更有利的手段。 本次课设设计的红外遥控智能小车可以分为四大组成部分:红外遥控部分、显示部分、执行部分、控制部分。智能小车可以实现按遥控指示前行,后退,左转和右转。该设计主要通过对系统硬件电路的设计,软件设计和程序的编写,然后通过后期软硬件调试达到设计初衷。 1

遥控器注塑模具设计及主要零件加工工艺分析

目录 第一章绪论 (2) 一.毕业设计应达到的要求 (2) 二.塑料模具的分类 (2) 三.塑料成型在工业生产中的重要性 (2) 第二章.零件的工艺分析 (3) 一.材料的选择 (3) 二.产品工艺性与结构分析 (5) 第三章模具结构设计 (7) 一.模具型腔的设计 (7) 二.成型零件的设计与计算 (12) 三.模架的设计 (16) 第四章绘制装配图和零件图及总结 (19) 参考文献 (19)

前言 毕业设计是在修完所有课程之后,我们走向社会之前的一次综合性设计。在此次设计中,主要用到所学的注射模设计,以及机械设计等方面的知识。着重说明了一副注射模的一般流程,即注射成型的分析、模具的结构设计、注射模具设计的有关计算、模具总体尺寸的确定与结构草图的绘制、模具结构总装图和零件工作图的绘制、全面审核投产制造等。其中模具结构的设计既是重点又是难点,主要包括成型位置的及分型面的选择,模具型腔数的确定及型腔的排列和流道布局和浇口位置的选择,模具工作零件的结构设计,侧面分型及抽芯机构的设计,推出机构的设计,拉料杆的形式选择,排气方式设计等。通过本次毕业设计,使我更加了解模具设计的含义,以及懂得如何查阅相关资料和怎样解决在实际工作中遇到的实际问题,这为我们以后从事模具职业打下了良好的基础。 本次毕业设计也得到了老师和同学的帮助,在此一一表示感谢!由于实践经验的缺乏,且水平有限,时间仓促。设计过程中难免有错误和欠妥之处,恳请各位老师批评指正。 在编写说明书过程中,我参考了《塑料模成型工艺与模具设计》、《实用注塑模设计手册》和《模具制造工艺》等有关教材。引用了有关手册的公式及图表。但由于本人水平的有限,本说明书存在一些缺点和错误,希望老师多加指正,以达到本次设计的目的。

基于51单片机的红外遥控

基于51单片机的红外遥控 红外遥控是无线遥控的一种方式,本文讲述的红外遥控,采用STC89C52单片机,1838红外接收头和38k红外遥控器。 1838红外接收头: 红外遥控器: 原理: 红外接收的原理我不赘述,百度文库上不少,我推荐个网址,这篇文章写得比较清楚,也比较全面,https://www.sodocs.net/doc/9910925790.html,/view/c353e8360b4c2e3f57276349.html 我主要讲下程序的具体意思,在了解原理的基础上,我们知道,当我们在遥控器上每按下一个键,遥控器上的红外发射头都会发出一个32位的编码(32位编码分成4组8位二进制编码,前16位为用户码和用户反码,后16位为数据码和数据反码,用户码表示遥控器类型,数据码表示按键编码),不同的键对应不同的编码,红外接收头接收到这个编码后,发送给单片机,再进行相关操作。 源程序1:(这个程序的功能是将用户码和用户反码,数据码和数据反码显示在1602液晶上,因为遥控器买回来是不会说明按键对应什么码值,所以先自己测试,确定每个 按键的码值) #include #include #include #define uint unsigned int #define uchar unsigned char #define _Nop() _nop_() #define TURE 1 #define FALSE 0

/*端口定义*/ sbit lcd_rs_port = P3^5; /*定义LCD控制端口*/ sbit lcd_rw_port = P3^6; sbit lcd_en_port = P3^4; #define lcd_data_port P0 /////////////////////////////////// void delay1 (void)//关闭数码管延时程序 { int k; for (k=0; k<1000; k++); } //////////////////////////////////// uchar code line0[16]={" user: "}; uchar code line1[16]={" data: "}; uchar code lcd_mun_to_char[16]={"0123456789ABCDEF"}; unsigned char irtime;//红外用全局变量 bit irpro_ok,irok; unsigned char IRcord[4];//用来存放用户码、用户反码、数据码、数据反码unsigned char irdata[33];//用来存放32位码值 void ShowString (unsigned char line,char *ptr); ////////////////////////////////////////////// void Delay(unsigned char mS); void Ir_work(void); void Ircordpro(void); void tim0_isr (void) interrupt 1 using 1//定时器0中断服务函数 { irtime++; } void ex0_isr (void) interrupt 0 using 0//外部中断0服务函数 { static unsigned char i; static bit startflag; if(startflag){ if(irtime<63&&irtime>=33)//引导码TC9012的头码 i=0; irdata[i]=irtime; irtime=0; i++; if(i==33){ irok=1; i=0; }

基于51单片机的红外遥控器设计

天津职业大学 二○一五~二○一六学年第1学期 电子信息工程学院 通信系统综合实训报告书 课程名称:通信系统综合实训 班级:通信技术(5)班 学号:1304045640 1304045641 1304045646姓名:韩美红季圆圆陈真真指导教师:崔雁松 2015年11月17日

一、任务要求 利用C51单片机设计开发一套红外线收发、显示系统。 具体要求: ●编写相关程序(汇编、C语言均可); ●用Proteus绘制电路图并仿真实现基本功能; ●制作出实物 二、需求分析(系统的应用场景、环境条件、参数等) 现在各种红外线技术已经源源不断进入我们的生活中,在很多场合发挥着作用。 机场、宾馆、商场等的自动门,会在人进出时自动地开启和关闭。原来,在自动门的一侧有一个红外线光源,发射的红外线照射到另一侧的光电管上,红外线是人体察觉不到的。当人走到大门口,身体挡住红外线,电管接收不到红外线了。根据设计好的指令,触发相应开关,就把门打开了。等人进去后,光电管又可以接到红外线,恢复原来的线路,门又会自动关闭。因此这种光电管被称为“电眼”,在许多自动控制设备中大显身手。 在家庭中,许多电子设备如彩色电视、空调、冰箱和音响等,都使用了各种“红外线遥控器”。利用它我们可以非常方便的转换电视频道或设定空调的温度档次。 三、概要设计(系统结构框图/系统工作说明流程图) 红外线收发、显示系统硬件由以下几部分组成:红外遥控器,51单片机最小系统,接收放大器一体集成红外接收头,LED灯显示电路。 红外线接收是把遥控器发送的数据(已调信号)转换成一定格式的控制指令脉冲(调制信号、基带信号),是完成红外线的接收、放大、解调,还原成发射格式(高、低电位刚好相反)的脉冲信号。这些工作通常由一体化的接收头来完成,输出TTL兼容电平。最后通过解码把脉冲信号转换成数据,从而实现数据的传输。 红外遥控系统电路框图

基于某单片机地红外遥控设计与制作

基于单片机的红外遥控设计与制作 13工试2班舒佳章韬略 一、设计目的 对于本课题的研究,其理论中的价值是对红外线这种电磁波的特性进行更加深入的研究。同时在与单片机和电子电路的共同作用下,找到单片机及电子电路在实际运用中的更多功能,从而挖掘出红外线和硬件设备结合中的更多可能性。在现实意义中,对于红外线的使用,它不仅提高了单片机、硬件设备和硬件系统在智能遥控领域的广泛应用,而相对了在硬件设施上使用了红外线的遥控技术,也同时大大拓宽了硬件设施的应用围。在不久的将来,我相信,人们对于红外遥控控制的运用,会变得越来越广。 二、设计要求 基本功能要求: 1.以一个单片机作为控制遥控器,另一个单片机控制系统为被遥控对象; 2.用遥控器的10个遥控开关,控制遥控对象的10个电源开关通断; 3.能实现10个电源开关状态显示; 4.能实现定时开关某一个电源开关。 扩展功能: 1.能实现灯光亮度连续调节;

2.能根据不同电器实现不同时间通断控制; 3.其他扩展功能。 三、方案设计 3.1红外遥控发射电路的方案 采用指令键产生电路产生不同的控制指令,单片机进行状态的编码,直接由单片机的口输出方波信号控制红外发射管进行发射。红外发射管采用普通的红外发射二极管。 3.2红外遥控接收电路的方案 遥控系统采用红外线脉冲个数编码,直接利用单片机软件解码,实现功能的遥控。 3.3单片机的选择 本设计所编写的程序比较简单,功能也比较少,所用到的输入输出端口也不是很多,所以我们决定用STC89C52单片机来完成本设计,既方便也很实用。 3.4红外遥控系统电路的原理框图以及各部分作用

各部分作用: (1)行列式键盘 行列式键盘又称为矩阵式键盘,用I/O线组成行列结构,按键设置在行列的交点上,行列式分别连接到按键开关的两端。键盘中有无按键按下是由行线送入扫描字及列线读入列线状态字来判断的,有键按下时通过查键并执行键功能程序。 (2)红外线发射电路 遥控器信息码由单片机的定时器1中断产生40KHZ红外线方波信号。由P3.5口输出,经过三极管放大,由红外线发射管发送。 (3)单片机 单片机用于输出方波信号控制红外发射电路的工作。 3.5红外接收部分原理框图以及各部分作用 各部分作用: (1)+5V电源电路 给单片机最小系统、控制电路提供以及红外接收电路提供电压。

遥控器面板注塑模具设计毕业设计

本科毕业设计(论文)题目遥控器面板注塑模具设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前

提下,学校可以公布论文的部分或全部内容。作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。

基于单片机的红外线遥控器设计

毕业设计 姓名: 专业: 班级: 指导教师:

课程设计任务书 姓名:钟思 专业:自动化 班级:1301班 设计课题:基于单片机的红外线遥控器设计指导教师: 电子信息工程系印制 二○一五年十二月 目录

第一章红外发射部分 (1) 1、设计要求与指标 (1) 2、红外遥感发射系统的设计 (1) 3、红外发射电路的设计 (2) 4、调试结果及其分析 (3) 第二章红外接受部分 (4) 1、红外遥控系统的设计 (4) 2、系统的功能实现方法 (9) 3、红外接受电路图 (10) 4、软件设计: (10) 5、调试结果及分析: (10) 6、结论: (11) 参考文献 (11)

第一章红外发射部分 1.设计要求与指标 红外遥控是目前使用较多的一种遥控手段。功能强、成本低等特点。系统。设计要求利用红外传输控制指令及智能控制系统,借助微处理器强大灵活的控制功能发出脉冲编码,组成的一个遥控系统。本设计的主要技术指标如下: (1) 遥控范围:0 —1 米 (2) 显示可控制的通道 (3) 灵敏可靠,抗干扰能力强 (4) 控制用电器电流最高为2 A 红外遥控的特点是不影响周边环境的、不干扰其他电器设备。由于其无法穿透墙壁,故不同房间的家用电器可使用通用的遥控器而不会产生相互干扰;多路遥控。 红外遥控系统由发射和接收两大部分组成,系统采用编/ 解码专用集成电路和单片机芯片来进行控制操作。设计的电路由几个基本模块组成:直流稳压电源,红外发射电路,红外接收电路及控制部分。发射电路,利用遥控发射利用键盘,这种代码指令信号调制在40KH z 的载波上,激励红外光二极管产生具有脉冲串的红外波,通过空间的传送到受控机的遥控接收器。 2.红外遥感发射系统的设计 红外遥控系统由发射和接收两大部分组成,系统采用编/解码专用集成电路和单片机芯片来进行控制操作。发射系统设计的电路由如下的几个基本模块组成:直流稳压电源,红外发射电路。 系统框图如图所示。

基于单片机的红外遥控系统设计

单片机红外遥控系统设计 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的遥控系统开始进入了人们的生活。传统的遥控器采用专用的遥控编码及解码集成电路,这种方法虽然制作简单、容易,但由于功能键数及功能受到特定的限制,只实用于某一专用电器产品的应用,应用范围受到限制。而采用单片机进行遥控系统的应用设计,具有编程灵活多样、操作码个数可随便设定等优点。 本设计主要应用了AT89C51单片机作为核心,综合应用了单片机中断系统、定时器、计数器等知识,应用红外光的优点,设计了一个红外线遥控系统。本系统包含发射和接收两大部分,利用编码/解码芯片来进行控制操作。发射部分包括键盘矩阵、编码调制、LED 红外线发射器;接收部分包括红外线接收芯片、光电转换器、调解电路。其优点硬件电路 简单,软件功能完善,性价比较高等特点,具有一定的使用和参考价值。 关键词:单片机AT89C51;LED红外线发射器

目录 目录 (2) 1 绪论 (2) 1.1研究背景 (2) 1.2国内外研究现状 (3) 1.3研究目的与意义 (3) 2系统方案设计论证 (5) 2.1单片机红外遥控发射器设计原理 (5) 2.2单片机红外遥控接收器设计原理 (5) 2.3方案选择和论证 (6) 3红外解码硬件电路设计 (8) 3.1红外解码系统设计 (8) 3.2单片机及其硬件电路设计 (8) 3.3红外发射电路设计 (10) 3.4红外接收电路设计 (11) 3.5本章小结 (13) 4红外解码程序设计 (14) 4.1红外接收电路主程序流程图 (14) 4.2红外接收电路子程序流程图 (14) 4.3本章小结 (15) 5 联机与调试 (16) 结论和展望 (23) 附录A:系统原理图 (24) 附录B:系统PCB图 (25) 附录C:系统仿真图 (26) 附录D:系统源程序 (27) 1 绪论 1.1研究背景 目前市场上采用的一般是遥控编码及解码集成的电路。此方案的特点是制作简单、容

万能学习型红外遥控器制作(毕业设计)

学号 密级 ××大学本科毕业论文 万能学习型红外遥控器设计 院(系)名称:×××× 专业名称:×××× 学生姓名:×××× 指导教师:×××× 二○○九年五月

BACHELOR'S DEGREE THESIS OF ×××× UNIVERSITY Design of Universal IR Learning Remote Controller College :×××× Subject :×××× Name :×××× Directed by :×××× May 2009

摘 要 随着家用电器种类的增加和无线遥控产品的普及,红外遥控器的使用频率越来越高,针对国内红外遥控学习技术成熟,但产品化程度低的特点,本文自主设计一种具有红外学习和触屏显示功能的红外遥控器,借此促进红外遥控学习技术在国内市场的产品化推广。 在红外解码方面,传统方法采用单片机中断或者查询方式采集红外信号,环境不理想情况下可能需要多次解码,本文借助电脑辅助记录全波形,通过相关软件优化波形,解码一次即可成功;在红外发射方面,本文通过实验发现红外发射距离受载波占空比和红外二极管贯通电流影响,通过调试将38KHz载波红外信号发射距离提高到10米;在红外接收方面,进行了红外干扰测试;在触屏校验方面,通过实验获取触屏数据,利用matlab参数估计lsqcurvefit函数求得校正参数,解决了触屏漂移问题;在彩屏显示方面,将遥控器所有按键简化为方向键和确认键,虚拟数码管显示按键位置,避免了单片机片上资源紧张的问题,此外,彩屏仅支持16位R5G6B5格式数据,一张176*220图片占用72. 6KB空间,造成极大浪费,本文借此讨论了适合本系统的图片压缩技术,给出了一种具体的图片压缩格式。 按照由简单到复杂的顺序,本文先后制作了遥控接收解码装置、遥控编码发射装置、万能学习型红外遥控器,以SAA3010遥控器作为典型代表(遵循飞利浦RC-5编码协议),成功的实现了红外编解码、发射接收、按键触屏双输入、彩屏显示等基本功能,最终制作的万能学习型遥控器在功能上可以完全代替SAA3010遥控器。 关键词:红外学习;红外解码;单片机控制;声卡采样;触屏校验

单片机红外遥控器按键输入系统实现1

摘要 摘要 本设计主要应用了AT89C52单片机作为核心,综合应用了单片机中断系统、定时器、计数器等知识,应用红外光的优点。文章首先介绍了红外遥控的基本原理和应用范围,再对AT89C52单片机的结构和性能给出简单的说明,接着给出了遥控器的编码格式,及遥控发射器,遥控接受器的电路设计。对于遥控操作的不同,遥控发射器通过对红外光发射频率的控制来区别不同的操作;遥控接收器通过对红外光接收频率的识别,判断出控制操作,来完成整个红外遥控发射、接收过程。最后分别详细介绍遥控系统的发射部分和接收部分的电路原理图和程序流程图。 关键词:单片机红外线发射接收

ABSTRACT ABSTRACT The design has used AT89C52 microprocessor as core, intergrately apply the interruptive system, timer, counter, etc. mainly to design originally and also take the advantage of the infrared light. Firstly,the fundamental principle and application ranges of infrared remote control are introduced.Secondly,the structure and performance of AT89C52 single chip are simply given out.Next,the code form of remote controller is given here.The remote control launcher distinguishes different operation through the control on frequency of infrared emission of light. The remote control receiver judges control operation by adopting the discerned frequency of the received infrared light to finish the whole launching and receiving course. Its advantage is that the hardware circuit is simple, the software is with perfect function, have certain use and reference value. Lastly, both the transmitting and receiving parts are explained, including particular circuit and program flow chart respectively. Keywords: Single-Chip Microcomputer Infrared ray Launch Receive

单片机红外遥控器设计教学文案

单片机红外遥控器设计 红外线遥控是目前使用很广泛的一种通信和遥控技术。由于红外线遥控装置具有体积小、功耗低、功能强、成本低等特点,因而,继彩电、录像机之后,在录音机、音响设备、空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控。工业设备中,在高压、辐射、有毒气体、粉尘等环境下,采用红外线遥控不仅完全可靠而且能有效地隔离电气干扰。 红外线是太阳光线中众多不可见光线中的一种,由德国科学家霍胥尔于1800年发现,又称为红外热辐射,他将太阳光用三棱镜分解开,在各种不同颜色的色带位置上放置了温度计,试图测量各种颜色的光的加热效应。结果发现,位于红光外侧的那支温度计升温最快。因此得到结论:太阳光谱中,红光的外侧必定存在看不见的光线,这就是红外线。也可以当作传输之媒界。太阳光谱上红外线的波长大于可见光线,波长为0.75~1000μm。红外线可分为三部分,即近红外线,波长为0.75~1.50μm之间;中红外线,波长为1.50~6.0μm之间;远红外线,波长为6.0~l00 0μm 之间。 真正的红外线夜视仪是光电倍增管成像,与望远镜原理全完不同,白天不能使用,价格昂贵且需电源才能工作。 【红外遥控系统】 通用红外遥控系统由发射和接收两大部分组成,应用编/解码专用集成电路芯片来进行控制操作,如图1所示。发射部分包括键盘矩阵、编码调制、LED红外发送器;接收部分包括光、电转换放大器、解调、解码电路。

图1a《红外发射原理图》 图1b 《红外接受原理图》 【遥控发射器及其编码】 红外遥控发射器专用芯片很多,根据编码格式可以分成两大类,这里我们以运用比较广泛,解码比较容易的一类来加以说明,现以日本NEC的uPD6121G组成发射电路为例说明编码原理。当发射器按键按下后,即有遥控码发出,所按的键不同遥控编码也不同。这种遥控码具有以下特征: 采用脉宽调制的串行码,以脉宽为0.565ms、间隔0.56ms、周期为1.125ms的组合表示二进制的“0”;以脉宽为0.565ms、间隔1.685ms、周期为2.25ms的组合表示二进制的“1”,其波形如图2所示。

红外遥控器设计(方案)(1)

毕业实践环节毕业设计(典型性项目)说明书红外遥控器设计(方案)

毕业论文(设计)原创性声明 本人所呈交的毕业论文(设计)是我在导师的指导下进行的研究工作及取得的研究成果。据我所知,除文中已经注明引用的内容外,本论文(设计)不包含其他个人已经发表或撰写过的研究成果。对本论文(设计)的研究做出重要贡献的个人和集体,均已在文中作了明确说明并表示谢意。 作者签名:日期: 毕业论文(设计)授权使用说明 本论文(设计)作者完全了解**学院有关保留、使用毕业论文(设计)的规定,学校有权保留论文(设计)并向相关部门送交论文(设计)的电子版和纸质版。有权将论文(设计)用于非赢利目的的少量复制并允许论文(设计)进入学校图书馆被查阅。学校可以公布论文(设计)的全部或部分内容。保密的论文(设计)在解密后适用本规定。 作者签名:指导教师签名: 日期:日期:

注意事项 1.设计(论文)的内容包括: 1)封面(按教务处制定的标准封面格式制作) 2)原创性声明 3)中文摘要(300字左右)、关键词 4)外文摘要、关键词 5)目次页(附件不统一编入) 6)论文主体部分:引言(或绪论)、正文、结论 7)参考文献 8)致谢 9)附录(对论文支持必要时) 2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。 3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。 4.文字、图表要求: 1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写 2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印 4)图表应绘制于无格子的页面上 5)软件工程类课题应有程序清单,并提供电子文档

智能红外遥控器的设计-(毕业论文)

摘要 随着家用电器种类的增加和无线遥控产品的普及,红外遥控器的使用频率越来越高,针对国红外遥控学习技术成熟,但产品化程度低的特点,本文自主设计一种具有红外学习和触屏显示功能的红外遥控器,借此促进红外遥控学习技术在国市场的产品化推广。 在红外解码方面,传统方法采用单片机中断或者查询方式采集红外信号,环境不理想情况下可能需要多次解码,本文借助电脑辅助记录全波形,通过相关软件优化波形,解码一次即可成功;在红外发射方面,本文通过实验发现红外发射距离受载波占空比和红外二极管贯通电流影响,通过调试将38KHz 载波红外信号发射距离提高到10 米;在红外接收方面,进行了红外干扰测试;在触屏校验方面,通过实验获取触屏数据,利用matlab 参数估计lsqcurvefit 函数求得校正参数,解决了触屏漂移问题;在彩屏显示方面,将遥控器所有按键简化为方向键和确认键,虚拟数码管显示按键位置,避免了单片机片上资源紧的问题,此外,彩屏仅支持16 位R5G6B5 格式数据,一176*220 图片占用72. 6KB 空间,造成极大浪费,本文借此讨论了适合本系统的图片压缩技术,给出了一种具体的图片压缩格式。 按照由简单到复杂的顺序,本文先后制作了遥控接收解码装置、遥控编码发射装置、万能学习型红外遥控器,以SAA3010 遥控器作为典型代表(遵循飞利浦RC-5编码协议),成功的实现了红外编解码、发射接收、按键触屏双输入、彩屏显示等基本功能,最终制作的万能学习型遥控器在功能上可以完全代替SAA3010 遥控器。 关键词:红外学习;红外解码;单片机控制;声卡采样;触屏校验

Abstract In the electronic world, the infrared remote control technology is widely used in our lives. Various appliances on the market have the technology of infrared remote control system with maturity and low cost. However, to avoid different brands and between different types of equipment malfunction, people use different devices in different transport rules or identification number, which makes various types of remote control apply only to their remote objects and easy causes confusing results that the actual use of the remote control are many and complex. The design requirements is to achieve an intelligent learning IR remote control implementations. By studying infrared codec, infrared transmitting and receiving, MCU control, LCD display technology, remote control of other learning and learning sent successfully restored infrared remote control system.Key and core part of the design is that through software decoding it can achieve the self-study function of the infrared signal and be controlled by MCU to make the learned signal in store and forward. Keywords: Infrared remote controller;The 38KHZ carrier;Self-study;Infrared remote receiver;Infrared remote transmitter

基于51单片机的红外遥控

基于51单片机的红外遥控 红外遥控就是无线遥控的一种方式,本文讲述的红外遥控,采用STC89C52单片机,1838红外接收头与38k红外遥控器。 1838红外接收头: 红外遥控器: 原理: 红外接收的原理我不赘述,百度文库上不少,我推荐个网址,这篇文章写得比较清楚,也比较全面, 我主要讲下程序的具体意思,在了解原理的基础上,我们知道,当我们在遥控器上每按下一个键,遥控器上的红外发射头都会发出一个32位的编码(32位编码分成4组8位二进制编码,前16位为用户码与用户反码,后16位为数据码与数据反码,用户码表示遥控器类型,数据码表示按键编码),不同的键对应不同的编码,红外接收头接收到这个编码后,发送给单片机,再进行相关操作。 源程序1:(这个程序的功能就是将用户码与用户反码,数据码与数据反码显示在1602液晶上,因为遥控器买回来就是不会说明按键对应什么码值,所以先自己测试,确定每个按 键的码值) #include #include #include #define uint unsigned int #define uchar unsigned char #define _Nop() _nop_() #define TURE 1 #define FALSE 0

/*端口定义*/ sbit lcd_rs_port = P3^5; /*定义LCD控制端口*/ sbit lcd_rw_port = P3^6; sbit lcd_en_port = P3^4; #define lcd_data_port P0 /////////////////////////////////// void delay1 (void)//关闭数码管延时程序 { int k; for (k=0; k<1000; k++); } //////////////////////////////////// uchar code line0[16]={" user: "}; uchar code line1[16]={" data: "}; uchar code lcd_mun_to_char[16]={"0123456789ABCDEF"}; unsigned char irtime;//红外用全局变量 bit irpro_ok,irok; unsigned char IRcord[4];//用来存放用户码、用户反码、数据码、数据反码unsigned char irdata[33];//用来存放32位码值 void ShowString (unsigned char line,char *ptr); ////////////////////////////////////////////// void Delay(unsigned char mS); void Ir_work(void); void Ircordpro(void); void tim0_isr (void) interrupt 1 using 1//定时器0中断服务函数 { irtime++; } void ex0_isr (void) interrupt 0 using 0//外部中断0服务函数 { static unsigned char i; static bit startflag; if(startflag){ if(irtime<63&&irtime>=33)//引导码TC9012的头码 i=0; irdata[i]=irtime; irtime=0; i++; if(i==33){ irok=1; i=0; }

单片机红外遥控原理

红外遥控原理 人的眼睛能看到的可见光按波长从长到短排列,依次为红、橙、黄、绿、青、蓝、紫。其中红光的波长范围为0.62~0.76um;紫光的波长范围为0.38~0.46。比紫光的波长还要短的光叫紫外线,比红光的波长还要长的光叫红外线。红外线遥控技术就是利用波长为0.76~1.5μm之间的近红外线来传送控制信号的。常用的红外遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一只特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的是红外线而不是可见光。目前大量使用的红外发光二极管发出的红外线波长为940nm左右,外形与普通5发光二极管相同,只是颜色不同。红外发光二极管一般有黑色、深蓝、透明三种颜色。判断红外发光二极管好坏的办法与判断普通二极管一样:用万用表电阻挡量一下红外发光二极管的正、反向电阻即可。红外发光二极管的发光效率要用专门的仪器才能精确测定,而业余条件下只能用拉距法来粗略判定。接收部分的红外接收管是一种光敏二极管。 在实际应用中要给红外接收二极管加反向偏压,它才能正常工作,亦即红外接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外接收二极管一般有圆形和方形两种。由于红外发光二极管的发射功率都较小,所以红外接收二极管接收到的信号比较微弱,因此就要增加高增益放大电路。 前些年常用μPC1373H、CX20106A等红外接收专用放大电路。最近几年不论是业余制作还是正式产品,大多都采用成品红外接收头。成品红外接收头的封装大致有两种:一种采用铁皮屏蔽;一种是塑料封装。均有三只引脚,即电源正、电源负和数据输出(VO或OUT)。红外接收

遥控器设计

前言 光阴似梭,大学三年的学习一晃而过,为具体的检验这三年来的学习效果,综合检测理论在实际应用中的能力,除了平时的考试、实验测试外,更重要的是理论联系实际,即此次设计的课题为遥控器前盖的注塑模具。 本次毕业设计课题来源于生活,应用广泛,但成型难度大,模具结构较为复杂,对模具工作人员是一个很好的考验。它能加强对塑料模具成型原理的理解,同时锻炼对塑料成型模具的设计和制造能力。 本次设计以注射遥控器前盖模具为主线,综合了成型工艺分析,模具结构设计,最后到模具零件的加工方法,模具总的装配等一系列模具生产的所有过程。能很好的学习致用的效果。在设计该模具的同时总结了以往模具设计的一般方法、步骤,模具设计中常用的公式、数据、模具结构及零部件。把以前学过的基础课程融汇到综合应用本次设计当中来,所谓学以致用。在设计中除使用传统方法外,同时引用了CAD、Pro/E等技术,使用Office软件,力求达到减小劳动强度,提高工作效率的目的。 通过对模具专业的学习,掌握了常用材料在各种成型过程中对模具的工艺要求,各种模具的结构特点及设计计算的方法,以达到能够独立设计一般模具的要求。在模具制造方面,掌握一般机械加工的知识,金属材料的选择和热处理,了解模具结构的特点,根据不同情况选用模具加工新工艺。 毕业设计能够对以上各方面的要求加以灵活运用,综合检验大学期间所学的知识。 由于实际经验和理论技术有限,设计的错误和不足之处在所难免,希望各位老师批评指正。

1塑件的工艺分析 1.1 【塑件成型工艺分析】如图1.1所示: 图1.1 遥控器前盖 遥控器前盖的形状较复杂,带有很多不同形状的孔,在保证孔间距和孔的形状是给模具的加工带了很大的难度。遥控器前盖的注塑材料首先选用ABS,遥控器前盖绝大部分决定了遥控器的外观。所以我们必须很好的处理后前盖壁厚的均匀,譬如在注塑成型过程中因为壁厚的不均匀造成了收缩率的不一致,这样就只能通过有效的控制模具温度来调节收缩率。由于前盖的主体作用是起固定作用,它的内部结构就相应的给注塑带来了一定的难度。主要是它螺钉孔的壁厚相对壁厚有一定的差距,势必会在注塑的时候到来很大的牛顿减力,造成塑件填充不满的缺陷。应用了Pro/E的塑料顾问对其进行CAE的充模分析之后,确定了最佳的进浇位置,解决了填充不满的缺陷。在考虑到模具寿命,型心、型腔的结构,和现在的技术水平用整体形式是比较好的,使用嵌件容易出现问题,造成型腔的强度与刚度不够。

基于单片机的红外无线控制

中国矿业大学徐海学院 技能考核培训 姓名:陈思彤学号: 22110838 专业:信息11-2班 题目:基于单片机的红外无线控制 专题:音乐播放器 指导教师:有鹏老师翟晓东老师 设计地点:电工电子实验室 时间: 2014 年 4 月

通信系统综合设计训练任务书 学生姓名陈思彤专业年级信息11-2班学号22110838 设计日期:2014年4 月5日至2014 年4 月10 日 设计题目: 基于单片机的红外无线控制 设计专题题目: 音乐播放器 设计主要内容和要求: 1. 主要内容: 单片机内部结构 红外遥控解码 C语言程序设 2. 功能扩展要求 实现音乐播放器的功能 指导教师签字:

摘要:近年来随着计算机在社会领域的渗透, 单片机的应用正在不断地走向深入。红外线技术也被广泛应用于各个电子领域,先设计一种基于单片机的红外遥控的简易音乐播放器。通信蜂鸣器来发声,来完成音乐播放器的功能。该系统可实现对音乐播放的远距离遥控,且结构简单,速度快,抗干扰能力强。通过本次课程设计,我对单片机中断系统等知识有了进一步的了解,对单片机的相关知识做到理论联系实际。 关键词:单片机,中断系统,红外遥控,音乐播放

目录 1 绪论 (4) 1.1概述 (4) 1.2功能 (4) 2 硬件电路 (5) 2.1总体设计方 (5) 2.2单片机最小系统 (5) 2.3红外遥控收发电路 (5) 2.3.1 红外遥控发射电路 (6) 2.3.2 红外遥控接收电路 (7) 2.4蜂鸣器电路 (7) 2.5 LED指示灯电路 (8) 3软件编程 (9) 3.1 C语言实现系统设计 (9) 3.2乐谱的改编 (10) 参考文献 (11) 附录 (12)

单片机红外遥控器设计

单片机红外遥控器设计 作者:mcu110 来源:51hei 点击数:4086 更新时间:2007 年08月01日 红外线遥控是目前使用很广泛的一种通信和遥控技术。由于红外线遥控装置具有体积小、功耗低、功能强、成本低等特点,因而,继彩电、录像机之后,在录音机、音响设备、空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控。工业设备中,在高压、辐射、有毒气体、粉尘等环境下,采用红外线遥控不仅完全可靠而且能有效地隔离电气干扰。 红外线是太阳光线中众多不可见光线中的一种,由德国科学家霍胥尔于1800年发现,又称为红外热辐射,他将太阳光用三棱镜分解开,在各种不同颜色的色带位置上放置了温度计,试图测量各种颜色的光的加热效应。结果发现,位于红光外侧的那支温度计升温最快。因此得到结论:太阳光谱中,红光的外侧必定存在看不见的光线,这就是红外线。也可以当作传输之媒界。太阳光谱上红外线的波长大于可见光线,波长为0.75~1000μm。红外线可分为三部分,即近红外线,波长为0.75~1.50μm 之间;中红外线,波长为1.50~6.0μm之间;远红外线,波长为6.0~l000μm 之间。 真正的红外线夜视仪是光电倍增管成像,与望远镜原理全完不同,白天不能使用,价格昂贵且需电源才能工作。 【红外遥控系统】 通用红外遥控系统由发射和接收两大部分组成,应用编/解码专用集成电路芯片来进行控制操作,如图1所示。发射部分包括键盘矩阵、编码调制、LED红外发送器;接收部分包括光、电转换放大器、解调、解码电路。>> 推荐文章 单片机USB-ISP 下载线制作 自制单片机实验板 单片机一键多功能按键识别设 >> 阅读排行 keil教程 单片机电子时钟设计 单片机DS18B20水温控制系统 单片机交通灯设计 单片机课程设计单片机时钟设计 单片机数字钟设计 单片机键盘程序(4×4矩阵式 单片机串口通讯单片机秒表设计 >> 相关文章 51学习板4*4键盘的c51与汇编程序51单片机实验板DS18B20测温程序单片机控制机械手设计论文

相关主题